(完整版)山东大学电子设计自动化试卷2

合集下载

山东大学计算机基础复习题

山东大学计算机基础复习题

二、单项选择题(本题共20个小题,每小题1分,共20分)1.关于信息技术(Information Technology,IT)下列说法正确的是([1])。

A、现代信息技术是指以微电子技术、计算机技术和通信技术为特征的技术。

B、信息技术就是计算机技术和计算机网络技术。

C、在信息处理的每一个环节,都必须使用信息技术。

D、信息技术无法对工业社会形成的传统设备进行技术改造,成为智能设备。

2.二进制是由下列哪位科学家首先提出来的([2])。

A、莱布尼兹B、布尔C、巴贝奇D、图灵3.关于思维,下列说法不正确的是([3])。

A、思维是人类的大脑对外部客观世界概括的和间接的反应。

B、思维是人类凭借知识、经验对客观事物进行的间接反应。

C、思维是在表象、概念的基础上进行分析、综合、判断、推理等认识活动的过程。

D、思维是人类与生俱来的,与后天的学习无关。

4.巴贝奇的“分析机”到他终生都没有制造出来,下列说法正确的是([4])。

A、设计原理有错误B、设计思想太过超前C、设计图纸不够完善D、机械加工的工艺水平达不到它要求的精度5.第三代计算机采用的逻辑运算器件([5])。

A、电子管B、晶体管C、集成电路D、大规模集成电路6.在计算机中,引入16进制,主要目的是([6])。

A、计算机中的数据存储采用16进制。

B、计算机中的数据运算采用16进制。

C、书写二进制串方便。

D、计算机的内存地址采用16进制编制7.设计算机字长为8位,采用补码表示,可表示的整数的取值范围是([7])。

A、0~128B、-127~128C、-128~127D、-128~1288.在数值数据的表示中,我们经常提到数的定点表示和浮点表示。

对于这两种表示方法,以下说法错误的是([8])。

A、定点表示经常用来表示整数,小数点的位置是确定的。

B、浮点数一般用来表示带小数位的数字,小数点的位置是浮动的,浮动的位数用阶码表示。

C、单精度浮点数占16位字长,双精度浮点数有32位字长。

山东管理学院成人教育《电子设计自动化技术》期末考试复习题及参考答案

山东管理学院成人教育《电子设计自动化技术》期末考试复习题及参考答案

山东管理学院成人教育《电子设计自动化技术》期末考试复习题及参考答案一、选择题:(每题2分,共30分)1. 不符合1987VHDL标准的标识符是()。

A. a2b2B. a1b1C. ad12D. %502. VHDL语言中变量定义的位置是()。

A. 实体中中任何位置B. 实体中特定位置C. 结构体中任何位置D. 结构体中特定位置3. VHDL语言中信号定义的位置是()。

A. 实体中任何位置B. 实体中特定位置C. 结构体中任何位置D. 结构体中特定位置4. 变量是局部量可以写在()。

A. 实体中B. 进程中C. 线粒体D. 种子体中5. 变量和信号的描述正确的是()。

A. 变量赋值号是:=B. 信号赋值号是:=C. 变量赋值号是<=D. 二者没有区别6. 变量和信号的描述正确的是()。

A. 变量可以带出进程B. 信号可以带出进程C. 信号不能带出进程D. 二者没有区别7. 关于VHDL数据类型,正确的是()。

A. 数据类型不同不能进行运算B. 数据类型相同才能进行运算C. 数据类型相同或相符就可以运算D. 运算与数据类型无关8. 下面数据中属于实数的是()。

A. 4.2B. 3C. ‘1’D. “11011”9. 下面数据中属于位矢量的是()。

A. 4.2B. 3C. ‘1’D. “11011”10. 正确给变量X赋值的语句是()。

A. X<=A+B;B. X:=A+b;C. X=A+B;D. 前面的都不正确 11. 可以不必声11.明而直接引用的数据类型是()。

A. STD_LOGICB. STD_LOGIC_VECTORC. BITD. 前面三个答案都是错误的12. STD_LOGIG_1164中定义的高阻是字符()。

A. XB. xC. zD. Z13. STD_LOGIG_1164中字符H定义的是()。

A. 弱信号1B. 弱信号0C. 没有这个定义D. 初始值14. 使用STD_LOGIG_1164使用的数据类型时()。

山东大学数字电子技术期末试卷及答案

山东大学数字电子技术期末试卷及答案

山东大学数字电子技术期末试卷及答案-CAL-FENGHAI.-(YICAI)-Company One1试卷A一、选择题(从每小题的四个备选答案中,选出一个正确答案,并将其号码填在括号内,每小题2分,共20分)1.将十进制数(18)10转换成八进制数是 [ ]① 20 ② 22③ 21 ④ 232. 三变量函数()BCACBAF+=,,的最小项表示中不含下列哪项 [ ]① m2 ② m5③ m3 ④ m73.一片64k×8存储容量的只读存储器(ROM),有 [ ]①64条地址线和8条数据线②64条地址线和16条数据线③16条地址线和8条数据线④16条地址线和16条数据线4.下列关于TTL与非门的输出电阻描述中,正确的是 [ ]①门开态时输出电阻比关态时大②两种状态都是无穷大输出电阻③门关态时输出电阻比开态时大④两种状态都没有输出电阻5.以下各种ADC中,转换速度最慢的是 [ ]①并联比较型②逐次逼进型③双积分型④以上各型速度相同6. 关于PAL器件与或阵列说法正确的是 [ ]①只有与阵列可编程②都是可编程的③只有或阵列可编程④都是不可编程的7. 当三态门输出高阻状态时,输出电阻为 [ ]①无穷大②约100欧姆③无穷小④约10欧姆8.通常DAC中的输出端运算放大器作用是 [ ]①倒相②放大③积分④求和9. 16个触发器构成计数器,该计数器可能的最大计数模值是 [ ]① 16 ② 32③ 162 ④ 21610.一个64选1的数据选择器有( )个选择控制信号输入端。

[ ] ① 6 ② 16 ③ 32 ④ 64二、填空题(把正确的内容填在题后的括号内。

每空1分,共15分。

)1.已知一个四变量的逻辑函数的标准最小项表示为()()13,11,9,8,6,4,3,2,0,,,m d c b a F ∑=,那么用最小项标准表示=*F ,以及=F ,使用最大项标准表示=F ,以及=F 。

2.具有典型实用意义的可编程逻辑器件包括 , , , 。

山东大学网络教育期末考试试题及答案-电力系统自动化2

山东大学网络教育期末考试试题及答案-电力系统自动化2

山东大学网络教育期末考试试题及答案-电力系统自动化2一、单选题1.电力系统的特点不包括:()A.不能大量存储B.暂态过程短促C.与国民经济关系密切D.复杂难以控制2.从技术上和经济角度讲,最适合担任负荷调频任务的发电厂是()A.具有调整库容的大型水电厂B.核电厂C.火力发电厂D.径流式大型水力发电厂3.负荷在预计值附近随机变动的概率分布属于()A.正态分布B.泊松分布C.t分布D.F分布4.逆调压中枢点的电压为()A.高峰时1.05UN;低谷时UN;B.高峰时,不低于1.075UN,低谷时,不高于1.025UN;C.高峰时,不低于1.025UN;低谷时,不高于1.075UN;D.任何情况下,电压都在1.02-1.05UN5.负荷控制系统种类有:音频控制,无线电控制,配电线载波控制,()和混合控制。

A.谐波控制B.光纤控制C.工频控制D.双向控制6.下列选项中()不属于终端装置的类型。

A.馈线远方终端FTUB.需方终端单元ATUC.信号电源监控单元STUD.变压器测控单元TTU7.三绕组变压器的分接头,一般装在()A.高压侧和中压侧;B.高压侧和低压侧C.中压侧和低压侧;D.以上情况都有可能8.变压器消耗的无功功率是()A.感性;B.容性;C.为0;D.不确定9.电力网络有功损耗最小时的规律分布是按线段的()分布。

A.电抗B.电阻C.阻抗D.电容10.IC卡是()中用到的设备。

A.远方抄表与电能计费系统B.馈线自动化系统C.高级应用系统D.客户呼叫服务系统11.电力系统有功负荷的最优分配是针对()进行的A.第一种负荷B.第二种负荷C.第三种负荷D.第四种负荷12.提高线路的额定电压等级,将有助于提高系统的()A.参数对称性;B.稳定性;C.线性;D.非线性13.电力系统频率主要取决于()A.无功功率B.有功功率C.各节点电压的高低D.各节点注入电流的大小14.影响电力系统频率高低的主要因素是()A.电力系统的有功平衡关系;B.电力系统的无功平衡关系;C.电力系统的潮流分布;D.电力系统的网络结构。

山东大学网络教育期末考试试题及答案-电力系统自动化1

山东大学网络教育期末考试试题及答案-电力系统自动化1

一、单选题1.根据我国现行规定,对于大型电力系统频率偏移的要求是()。

A.正常运行情况下,频率偏移不得超过±0.5Hz;B.正常运行情况下,频率偏移不得超过±0.2Hz;C.正常运行情况下,频率偏移不得超过±1Hz;D.正常运行情况下,频率偏移不得超过±5Hz。

2.顺调压中枢点的电压为()A.高峰时1.05UN;低谷时UN;B.高峰时,不低于1.075UN,低谷时,不高于1.025UN;C.高峰时,不低于1.025UN;低谷时,不高于1.075UN;D.任何情况下,电压都在1.02-1.05UN3.我们使用的照明电压为220V,这个值是交流电的()。

A.有效值B.最大值C.恒定值D.瞬时值。

4.优化系统中无功功率电源分布的目的是()A.提高系统电压水平B.提高系统频率水平C.降低系统有功损耗D.提高系统电能质量5.高压配电网是指电压等级为()的配电网。

A.35kVB.110kVC.220kV/110kVD.35kV/110kV6.发电机带负荷失磁后机组转速()。

A.增高;B.降低;C.不变;D.不一定。

7.运行中的电压互感器二次线圈不许()。

A.开路;B.短路。

8.电力系统有功负荷的最优分配是针对()进行的A.第一种负荷B.第二种负荷C.第三种负荷D.第四种负荷9.EMS发电计划的功能包括()。

A.火电计划:水电计划;交换计划;扩建计划B.火电计划;水电计划;交换计划;检修计划C.火电计划;核电计划;交换计划;检修计划D.火电计划;水电计划;调度计划;检修计划10.枯水季节承担调频任务的是()A.高温高压火电厂B.中温中压火电厂C.大水电厂D.核电厂二、多选题1.对于无功补偿装置与电力网的连接,下列原则正确的是()A.无功补偿装置都可以连接于变电所的母线上;B.无功补偿装置都可以连接与直流输电换流站的母线上;C.配电网中,根据无功就地补偿原则,装中小型电容器就地补偿;D.负荷密集的供电中心集中安装大中型无功补偿装置2.变压器的等值电路中产生的是不变损耗的有()A.电阻B.电抗C.电导D.电纳3.电力系统中谐波对电网产生的影响有()A.设备发热,产生噪声B.引起附加损耗C.电感电容发生谐振,放大谐波D.产生干扰4.二次调频负荷的原始增量△PLO由()组成A.因调速器的调整作用而增大的发电机组的功率B.因调频器的二次调整作用而增大的发电机组的功率C.负荷本身的调节效应而减小的负荷功率D.负荷本身的调节效应而增大的负荷功率5.降低网损的措施包括()A.提高用户的功率因数B.改善网络中的功率分布C.合理确定电网运行电压水平D.组织变压器经济运行6.一次调频负荷的原始增量△PLO由()组成A.因调速器的调整作用而增大的发电机组的功率B.因调频器的二次调整作用而增大的发电机组的功率C.负荷本身的调节效应而减小的负荷功率D.负荷本身的调节效应而增大的负荷功率7.有功功率流过线路电抗时,产生的是()A.有功损耗;B.无功损耗;C.可变损耗;D.不变损耗三、判断题1.普通变压器可以在空载情况下改变变压器的分接头()2.无功功率的流向是从相位超前相流向相位滞后相()3.三次调整的名词不常用,其实就是按最优化准则分配的第三种有规律变化的负荷,即责成各发电厂按事先给定的发电负荷曲线发电()4.进行无功功率的平衡计算的前提是系统电压水平正常()5.利用发电机的一次调频就能够保持系统的频率()四、综合题1.简述有差调频器特点。

东北电力大学大三电气工程自动化专业机电一体化系统设计考试试卷及参考答案2

东北电力大学大三电气工程自动化专业机电一体化系统设计考试试卷及参考答案2

东北电力大学机电一体化系统设计考试试卷及参考答案2一、单项选择题(5’)1.一般机器都是多轴的。

为完成协调运动,所有运动轴都必须___。

A、同时启动B、同时停止C、分时启动,分时停止D、同时启动,同时停止答案:D2.机床的调速方法很多,其中最有利于实现自动化,并可简化机械结构的方法是___。

A、机械方法B、液压方法C、电气方法D、气动方法答案:C3.以下抑制电磁干扰的措施,除了___,其余都是从切断传播途径入手。

A、屏蔽B、隔离C、滤波D、软件抗干扰答案:D4.机电一体化对机械系统的基本要求中, ___要求是首要的。

A. 可靠性B. 低惯性C. 稳定性D. 高精度答案:A5.直流伺服电机按定子磁场产生方式可分为___。

A. 永磁式和他励式B. 永磁式和可变磁阻式C. 他励式和混合式D. 可变磁阻式和混合式答案:A6.检测环节能够对输出进行测量,并转换成比较环节所需要的量纲,一般包括传感器和___。

A、控制电路B、转换电路C、调制电路D、逆变电路答案:B7.一台三相磁阻式步进电动机,采用三相单三拍方式通电时,步距角为1.50,则其转子齿轮数为___。

B、60C、80答案:C8.在PLC控制系统中,梯形图程序按照从上至下周期循环扫描的方式进行,故称为___。

A、串行B、并行C、串并行兼顾答案:B9.检测装置中的___环节可以把来自传感器的信号转换为更适合进一步传输和处理的形式。

A. 数据采集B. 信号处理C. 信号调理D. 信号显示答案:C10.“机电一体化”与英文单词___一致。

A. MechanicsB. ElectronicsC. MechatronicsD. Electric-Machine11.下列哪个不是传感器的动特性?___A、幅频特性B、临界频率C、相频特性D、分辨率答案:D12.受控变量是机械运动的一种反馈空隙系统称为___。

A、顺序控制系统B、伺服系统C、数控机床D、工业机器人答案:B13.不属于机电一体化系统设计的方法是___。

(完整版)电气工程及其自动化试卷及答案

(完整版)电气工程及其自动化试卷及答案

(完整版)电气工程及其自动化试卷及答案一、选择题(每题2分,共20分)1. 以下哪种设备不属于电气设备?()A. 变压器B. 电动机C. 发电机D. 电脑答案:D2. 下列哪个单位是电流的单位?()A. 伏特(V)B. 安培(A)C. 瓦特(W)D. 欧姆(Ω)答案:B3. 以下哪个是交流电路的瞬时功率表达式?()A. P = UIB. P = UIcosφC. P = UI^2D. P = UIω答案:B4. 在RLC串联电路中,当ω = ω0时,电路呈()状态。

A. 串联谐振B. 并联谐振C. 电阻性D. 电容性答案:A5. 下列哪个是电力系统的基本组成单元?()A. 发电机B. 变压器C. 传输线D. 所有以上答案:D6. 以下哪个设备用于补偿无功功率?()A. 电容器B. 电感器C. 变压器D. 电动机答案:A7. 下列哪个是电力系统稳态分析的方法?()A. 潮流计算B. 短路计算C. 谐波分析D. 暂态分析答案:A8. 以下哪个是电力系统的基本保护装置?()A. 断路器B. 隔离开关C. 负荷开关D. 继电器答案:D9. 下列哪个是电气工程及其自动化专业的核心课程?()A. 电路分析B. 电力系统分析C. 自动控制系统D. 电机与电器答案:D10. 以下哪个是电气工程及其自动化专业的就业方向?()A. 电力公司B. 设计院C. 电器制造企业D. 所有以上答案:D二、填空题(每题2分,共20分)1. 电气设备主要包括____、____、____等。

答案:发电机、变压器、电动机2. 交流电路的有功功率、无功功率和视在功率分别为____、____、____。

答案:P = UIcosφ、Q = UIsinφ、S = UI3. 在RLC串联电路中,当ω = ω0时,电路呈____状态。

答案:串联谐振4. 电力系统的基本组成单元包括____、____、____等。

答案:发电机、变压器、传输线5. 电力系统的基本保护装置包括____、____、____等。

山农成人教育 电子设计自动化期末考试复习题及参考答案-专升本

山农成人教育 电子设计自动化期末考试复习题及参考答案-专升本

《电子设计自动化》复习题一、填空题1、SPLD有4种结构类型:_____________、_____________、_____________、_____________。

2、根据是否使用器件的真实特性,仿真分为:____________________、___________________。

3、利用EDA技术进行电路设计时,图形输入方式有___________________、___________________、___________________。

4、VHDL的基本描述语句包括一系列_________________和_________________两大基本描述语句。

5、41 =_______________________=_______________________=_______________________ (基于基的二进制、八进制、十六进制数)。

6、在VHDL语言中,注释符号为: _________________。

7、PLD的核心结构由4部分组成:______________________、______________________、______________________、______________________。

8、MAX3000A系列从逻辑结构上包含5部分:______________________、_____________________、_____________________、_________________________、____________________________。

9、62 =____________________=____________________=____________________ (基于基的二进制、八进制、十六进制数)。

10、VHDL的子程序有_______________和_______________两种类型。

山东大学计算机选择题整理2

山东大学计算机选择题整理2

(二)1单选(2分)我们说二进制是现代电子计算机的重要理论基础之一,是因为()。

A.十进制不能进行逻辑运算B.二进制数据容易阅读容易编程C.二进制运算规则简单,记数和计算功能物理实现容易。

D.计算机采用何种进制都是一样的E.二进制数是人类社会普遍采用的进位数制正确答案:C 你没选择任何选项2单选(2分)下列任务不属于操作系统功能的是()。

A.设备管理B.处理器管理C.内存管理D.用户帐户管理E.外存储器与文件管理F.用户界面管理正确答案:D 你没选择任何选项3单选(2分)在下列设备中,既可以作为输入设备,又可以作为输出设备的是()。

A.触摸屏B.键盘C.鼠标D.扫描仪E.打印机正确答案:A 你没选择任何选项4单选(2分)一般认为,世界上第一台电子数字计算机是()A.电子数字积分计算机ENIACB.ABC计算机C.通用机电式计算机Mark-1D.通用自动计算机UNIVACE.巨人计算机正确答案:A 你没选择任何选项5单选(2分)二进制的发明人是()A.布尔B.图灵C.莱布尼兹D.冯·诺伊曼E.巴贝奇正确答案:C 你没选择任何选项6单选(2分)计算机字长为16位,一个数的补码为10000000 00000000,则该数字的真值是A.32768B.-0C.-32768D.+0正确答案:C 你没选择任何选项7单选(2分)1834年,巴贝奇开始了“分析机”的研制,但到他终生都没有制造出来,是因为()A.缺乏资金B.没有采用二进制C.设计原理有错误D.设计思想太过超前E.设计图纸不够完善F.机械加工的工艺水平达不到它要求的精度正确答案:D 你没选择任何选项8单选(2分)在计算机中,引入十六进制,主要目的是()。

A.十六进制更加容易实现,运算高效。

B.计算机中的数据存储采用十六进制。

C.计算机中的数据运算采用十六进制。

D.缩短二进制字串的长度,简化书写。

E.计算机的内存地址采用十六进制编制。

正确答案:D 你没选择任何选项9单选(2分)以下关于操作系统的叙述正确的是()。

电气工程及其自动化单片机原理及应用山东大学网络教育模拟题及答案

电气工程及其自动化单片机原理及应用山东大学网络教育模拟题及答案

电气工程及其自动化单片机原理及应用山东大学网络教育模拟题及答案《单片机原理及应用》1、分析程序的执行结果,将结果填写到空格中。

ORG 0000HMOV 30H,#50HMOV R0,#30HMOV A,@R0 ;(A= 50H )MOV A,#79HMOV B,#20H ;(A= 79H B= 20H )DIV AB ;(A= 03H B= 19H )PUSH BMOV B,#0AHMUL AB ;(A= 1EH B= 00H )POP BADD A,B ;(A= 37H B= 19H )MOV 30H,A ;(30H单元的内容= 37H )MOV 20H,30HCLR 01H ;(20H单元的内容= 37H )MOV A,20H ;(PSW中P的内容= 1 )CPL ARR A ;( PSW中C的内容= 0 )SWAP A ;(A= 46H )ADDC A,#0F0H ;(A= 36H );(PSW中P的内容= 0 );( PSW中C的内容= 1 )RL A ;(A= 6CH );(PSW中P的内容= 0 );( PSW中C的内容= 0 ) LOOP: AJMP LOOP2、将MCS51内部RAM60H~65H单元存放的12个16进制数变为ASCII码,放到0090H单元开始的外部RAM中。

[使用汇编语言编写]MAIN:MOV R0,#60HMOV R2,#6MOV DPTR, #0090HHASC:MOV A,@R0ANL A,#0FH ;屏蔽高四位ADD A,#0DHMOVC A,@A+PC ;查表低四位转换为ASCII码MOVX @DPTR,A ;送如外部RAMINC DPTRMOV A,@R0ANL A,#0F0HSWAP AADD A,#6MOVC,@A+PC ;高四位转换为ASCII码MOVX @DPTR,AINC R0INC DPTRDJNZ R2,HASCENDASCTAB:DB 30H 31H 32H 33H 34 H 35H 36H 37H 38H 39H DB41H 42H 43H 44H 45H 46H3、某一故障检测系统,当出现故障1时,线路1上出现上升沿;当出现故障2时,线路2上出现下降沿。

山东大学网络教育期末考试试题及答案-电工与电子技术2

山东大学网络教育期末考试试题及答案-电工与电子技术2

电工与电子技术模拟题2卷一 电工技术部分一、单项选择题:在下列各题中,将唯一正确的答案代码填入括号内1、在 图 示 电 路 中,已 知 U S = 2 V ,I S = 1 A 。

A 、B 两 点 间 的 电 压 U AB 为 ( C )。

(a) -1 V(b) 0(c) 1 VI U S SΩΩBA..11+2、 在 某 对 称 星 形 连 接 的 三 相 负 载 电 路 中,已 知 线 电 压 u t AB = V 3802sin ω,则 C 相 电 压 有 效 值 相 量 =CU & ( )。

(a) 22090∠︒ V(b)38090∠︒ V(c)22090∠-︒ V3、 三 相 异 步 电 动 机 在 运 行 中 提 高 其 供 电 频 率,该 电 动 机 的 转 速 将( b )。

(a) 基 本 不 变(b) 增 加(c) 降 低二、填空题1电路如图,在开关S 断开时A 点的电位__________,开关S 闭合时A 点的电位__________。

2. 有台三相异步电动机,其额定转速为,电源频率为50Hz 。

在转差率为 0.02时,(1)定子旋转磁场对定子的转速____________ r/min ;(2)定子旋转磁场对转子的转速____________ r/min ;(3)转子旋转磁场对定子旋转磁场转速____________ r/min 。

三、分析计算题:1、电路如下图所示,用戴维宁定理求电流I 。

20V10AΩ2Ω1Ω5Ω4I20kΩ-12V3.9kΩA3k解:将Ω1电阻断开,得一二端有源网络10420O ⨯=+U所以 V 20O =UΩ=4O R A 414201o =+=+=R E I2、RLC 串联电路如下图所示,已知:V 314sin 2220t u =,Ω=30R ,mH 127=L ,F μ40=C 。

试求:(1) 电流i 的瞬时值表达式;(2)电压LR u 的有效值LR U .+-LRu解:(1) Ω=⨯==40m 127314L X L ωΩ=⨯==804031411μωC X C Ω=-+-+=50)8040(30)(2222C L X X R ZA 4.450220===ZU I ο1.53308040arctan-=-=-=i u ψψϕ οο1.53)1.53(0=--=-=ϕψψu iA )1.53314sin(24.4)314sin(2ο+=+=t t I i i ψ(2) Ω=+=+=5040302222L LR X R ZV 2204.450=⨯==I Z U LR LR3、某三相对称负载,其每相的电阻Ω=8R ,感抗Ω=6L X 。

自动控制原理(山东大学)智慧树知到答案2024年山东大学

自动控制原理(山东大学)智慧树知到答案2024年山东大学

自动控制原理(山东大学)山东大学智慧树知到答案2024年第一章测试1.下列家用电器哪个属于闭环控制?()A:洗衣机 B:冰箱 C:电子手表 D:电视机答案:B2.下列系统哪个属于闭环控制?()A:无人售货机 B:十字路口红绿灯系统 C:数控机床 D:空调答案:D3.开环控制方式简单,控制精度高。

A:错 B:对答案:A4.只要有反馈通道,一定是闭环控制。

A:对 B:错答案:A5.线性系统一定会满足叠加原理的。

A:对 B:错答案:A6.满足叠加原理的系统,一定是线性系统。

A:错 B:对答案:B7.复合控制方式是既有开环控制,又有闭环控制。

A:错 B:对答案:B8.电枢控制的直流电动机反馈控制系统是属于()。

A:恒值控制系统 B:离散控制系统 C:连续控制系统 D:线性定常系统答案:ACD9.雷达天线控制系统是属于()。

A:连续控制系统 B:恒值控制系统 C:随动控制系统 D:线性定常系统答案:ACD10.计算机控制系统是属于()。

A:程序控制系统 B:离散控制系统 C:非线性控制系统 D:线性控制系统答案:B第二章测试1.不同的物理系统,可以是同一种环节,同一个物理系统也可能成为不同的环节,这是与描述他们动态特性的微分方程相对应的。

A:错 B:对答案:B2.常见的典型环节有几种?A:4 B:5 C:7 D:6答案:D3.在线性定常系统中,系统输出的拉普拉斯变换与输入的拉普拉斯变换之比,称为系统的传递函数。

A:对 B:错答案:B4.物理系统线性微分方程一般表示形式中,方程左端导数阶次都输入的阶次。

A:高于 B:低于或等于 C:低于 D:高于或等于答案:D5.传递函数描述系统本身属性,与输入量的关系是:A:与输入量的大小无关,与输入量的类型有关。

B:与输入量的大小有关,与输入量的类型无关。

C:与输入量的大小和类型均有关 D:与输入量的大小和类型均无关答案:C6.传递函数表示成零极点表达式时,其中的传递系数又叫根轨迹增益。

山东大学成人教育《自动控制原理》期末考试复习题及参考答案

山东大学成人教育《自动控制原理》期末考试复习题及参考答案

《自动控制原理》模拟卷1一、一RC无源网络如图1 所示,求系统的传递函数U2 (s)/ U1(s)。

解:U2 (s)/ U1 (s)=R2(1+s R1C)/[ R1+R2+s R1R2C]二、试用结构图简化方法求图2所示系统的传递函数Y(s)/R(s)。

解:Y(s)/R(s) = -G3 + G1G2 /[ 1 + G2G5 + G1G4]三、已知系统的结构图和单位阶跃响应如图3所示,求系统参数K1,K2和a。

四、已知最小相位系统的对数幅频特性曲线如图4示1)求系统的开环传递函数;2)此时系统的相角裕度γ=?;图1图2图3五、已知负反馈系统的开环传递函数为)11.0(40)(+=s s s G要求:保持稳态误差不变,且校正后系统的相角裕度γ' ≥ 45︒。

1) 画出原系统的Bode 图,求ωc ,γ 。

2) 确定串联超前校正装置的传递函数;(要有必要的步骤,不用校验) 解:1) ωc = 20 γ = 26.6︒ 2) G c (s ) = 1+0.084s / 1+0.03s图4《自动控制原理》模拟卷2一、一有源网络如图1 所示,求系统的传递函数U c(s)/ U r(s)。

二、已知控制系统如图2所示,求:(1)当K = 0时,确定系统的阻尼系数ζ、无阻尼振荡频率ωn和单位斜坡输入信号作用时系统的稳态误差e ss。

(2)当ζ= 0.707时,试确定系统中的K值和单位斜坡输入时系统的稳态误差。

图1图2三、已知单位负反馈系统的开环传递函数为)2)(1()(++=s s s ks G1)画出系统的开环极坐标图(写出必要的步骤)。

2)用奈氏判据判断使闭环系统稳定时k 的取值范围。

四、已知最小相位系统的对数幅频特性曲线如图3所示1) 求系统的开环传递函数; 2) 此时系统的相角裕度γ =?; 3) 画出系统的对数相频特性曲线。

解:1) G k (s ) = 2.5(1+1/0.5s ) / s 2 (1+1/50s )2) ωc = 5 γ = 78.6︒五、已知负反馈系统的开环传递函数为)11.0(40)(+=s s s G要求:保持稳态误差不变,且校正后系统的相角裕度γ' ≥ 45︒。

山东大学plc考试题库及答案

山东大学plc考试题库及答案

山东大学plc考试题库及答案一、单项选择题(每题2分,共20分)1. PLC的全称是()。

A. 可编程逻辑控制器B. 可编程语言控制器C. 可编程逻辑计算机D. 可编程逻辑电路答案:A2. PLC中,I/O模块指的是()。

A. 输入/输出模块B. 内部/外部模块C. 输入/输出接口D. 输入/输出处理答案:A3. 在PLC程序中,LD指令表示()。

A. 逻辑与B. 逻辑或C. 逻辑非D. 逻辑异或答案:A4. PLC的扫描周期主要受()影响。

A. 程序复杂度B. 外部输入响应时间C. 电源稳定性D. 以上都是答案:D5. PLC中,用于实现定时功能的指令是()。

A. LDB. ANDC. ORD. TON答案:D6. 下列哪个不是PLC的输入设备()。

A. 按钮B. 传感器C. 继电器D. 显示器答案:D7. PLC的输出设备不包括()。

A. 接触器B. 指示灯C. 电机D. 存储器答案:D8. PLC的编程语言中,梯形图属于()。

A. 汇编语言B. 高级语言C. 过程控制语言D. 图形编程语言答案:D9. PLC的工作原理基于()。

A. 顺序控制B. 循环扫描C. 随机控制D. 事件驱动答案:B10. PLC的存储器中,RAM是()。

A. 随机存取存储器B. 只读存储器C. 可编程存储器D. 非易失性存储器答案:A二、多项选择题(每题3分,共15分)1. PLC的特点包括()。

A. 可靠性高B. 编程简单C. 灵活性强D. 价格低廉答案:ABC2. PLC在工业自动化中主要应用于()。

A. 过程控制B. 运动控制C. 数据处理D. 通信管理答案:ABCD3. PLC的输入接口可以连接的设备包括()。

A. 限位开关B. 接近开关C. 光电开关D. 温度传感器答案:ABCD4. PLC的输出接口可以控制的设备包括()。

A. 指示灯B. 电机C. 电磁阀D. 继电器答案:ABCD5. PLC的编程软件应具备的功能包括()。

山东大学电子设计自动化试卷2

山东大学电子设计自动化试卷2
指出并修改相应行的程序(如果是缺少语句请指出大致的行数):
错误1行号:程序改为:
错误2行号:程序改为:
2.若编译时出现如下错误,请分析原因。
第2页共5页
五、VHDL程序设计:(15分)
设计一数据选择器MUX,其系统模块图和功能表如下图所示。试采用下面三种方式中的两种来描述该数据选择器MUX的结构体。
4.进程中的变量赋值语句,其变量更新是_________。
A.立即完成;
B.按顺序完成;
C.在进程的最后完成;
D.都不对。
5.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述___________。
A.器件外部特性;
B.器件的综合约束;
C.器件外部特性与内部功能;
山东大学电子设计自动化试卷
一、单项选择题:(20分)
1.IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为__________。
A .软IP B.固IP C.硬IP D.都不是
2.综合是EDA设计流程的关键步骤,在下面对综合的描述中,_________是错误的。
use IEEE.________________.all;
use IEEE.std_logic_arith.all;
entity counter_n is
__________(width : integer := 8);
port(data : in std_logic_vector (width-1 downto 0);
Cout : out std_logic_vector(1 downto 0) );

09级《电子设计自动化技术》考试A卷参考答案

09级《电子设计自动化技术》考试A卷参考答案

五. 用 VHDL 设计一个分频电路,要求将 20MHZ 的方波信号变为 100KHZ 的方波 信号。 (13 分)
答: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; (2 分) ENTITY JYFP IS PORT( CLK_IN,RESET:IN STD_LOGIC; CLK_OUT:OUT STD_LOGIC ); END ENTITY JYFP; (3 分) ARCHITECTURE ART OF JYFP IS CONSTANT DIVIDE_PERIOD:integer :=50; (2 分) --将 20MHZ 的信号变为 100KHZ,分频常数为 20000000/100000=200 BEGIN DIVIDE_CLK:PROCESS(CLK_IN,RESET) IS VARIABLE CNT:INTEGER RANGE 0 TO 49; BEGIN IF (RESET = '1') THEN CNT := 0; CLK_OUT <= '0'; ELSIF RISING_EDGE(CLK_IN) THEN IF (CNT < (DIVIDE_PERIOD/2) THEN CLK_OUT <= '1'; --前 25 个周期输出为高电平 CNT := CNT + 1; ELSIF (CNT < (DIVIDE_PERIOD-1)) THEN CLK_OUT <= '0'; --后 25 个周期输出为低电平 CNT := CNT + 1; ELSE CNT := 0; END IF; END IF; END PROCESS DIVIDE_CLK; END ARCHITECTURE ART; (6 分)

大学生创新能力培养与实践智慧树知到答案章节测试2023年山东大学(威海)

大学生创新能力培养与实践智慧树知到答案章节测试2023年山东大学(威海)

第一章测试1.节能减排竞赛队员最多为几人( )A:8人B:6人C:5人D:7人答案:D2.节能减排竞赛的主题是以下那一项()。

A:创翼起飞,业成远大B:勇敢顽强,拼搏奉献C:技能出众,生活出彩D:节能减排、绿色能源答案:D3.挑战杯竞赛的评分依据包括哪三个方面()。

A:综合权重B:现实意义C:科学性D:先进性答案:ABCD4.互联网+竞赛项目必须与互联网开发有关()A:对B:错答案:B5.节能减排竞赛、挑战杯系列竞赛和互联网+创新创业大赛都是A类竞赛。

()A:错B:对答案:B第二章测试1.智能+赛道以“智能装备”作为应用背景,涉及机械创新涉及、结构设计、机器人、图像识别处理、物联网等技术领域,综合性较强。

( )A:错B:对答案:B2.智能+赛道无论哪一个赛项都由初赛和决赛组成。

()A:错B:对答案:B3.在智能物流搬运决赛阶段智能搬运机器人和桥梁结构设计两个项目分别单独竞赛。

()B:对答案:A4.生活垃圾智能分类机构只能使用电驱动,电池供电即可,最高电压不做限制。

()A:错B:对答案:A5.智能配送无人机项目竞赛过程中必须全程自主飞行完成任务。

()A:错B:对答案:A第三章测试1.电子设计竞赛题目设计的内容比较广泛,几乎涵盖了信息技术类各领域的内容。

()A:对B:错答案:A2.电子设计竞赛每年都是由省赛+国赛构成。

()A:错B:对答案:A3.0欧电阻因为不含有电阻,所以不可以作为提供回流路径。

()A:对B:错答案:B4.电容的作用是用来隔直流,通交流。

()A:错B:对答案:B5.数字万用表可以用来测量电容、电流、电压和三极管。

()A:对B:错答案:A第四章测试1.全国大学生机械创新设计大赛作品中不能采用智能技术、数字技术和5G+技术等,只能使用机械结构来完成。

()A:对答案:B2.山东省大学生机电产品创新设计竞赛中包含有适时公布的企业奖学金主题。

()A:对B:错答案:A3.势能驱动车有环形、 8 字和综合三种运行方式,选择任何一种运行方式都是以行驶距离来衡量最终成绩。

山大电子设计自动化模拟试题1

山大电子设计自动化模拟试题1

电子设计自动化模拟试卷1一、填空题1、在EDA设计中,仿真包括__________和__________,下述设计流程中,两种仿真分别在哪一步:原理图/HDL文本输入→__________→综合→适配→____________→编程下载→硬件测试。

2、在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,正确的是_______。

a)PROCESS为一无限循环语句;敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动。

b)敏感信号参数表中,应列出进程中使用的所有输入信号;c)进程由说明部分、结构体部分、和敏感信号参数表三部分组成;d)当前进程中声明的信号也可用于其他进程。

3、综合是EDA设计流程的关键步骤,综合是________________________________________的过程。

4、在一个VHDL设计中idata是一个信号,数据类型为integer,下面哪个赋值语句是不正确的________。

a)idata <= 16#20#;b)idata <= 32;c)idata <= 16#A#E1;d)idata <= B#1010#;5、 VHDL的PROCSS(进程)语句是由___________组成的,但其本身却是_____________。

二、名词解释1、CPLD2、HDL3、LUT4、ASIC5、SOC三、改错LIBRARY IEEE; -- 1USE IEEE.STD_LOGIC_1164.ALL; -- 2ENTITY LED7SEG IS -- 3PORT ( A : IN STD_LOGIC_VECTOR(3 DOWNTO 0); -- 4CLK : IN STD_LOGIC; -- 5LED7S : OUT STD_LOGIC_VECTOR(6 DOWNTO 0); -- 6END LED7SEG; -- 7ARCHITECTURE one OF SEG7 IS -- 8 SIGNAL TMP : STD_LOGIC; -- 9 BEGIN -- 10SYNC : PROCESS(CLK, A) -- 11BEGIN -- 12IF CLK'EVENT AND CLK = '1' THEN -- 13TMP <= A; -- 14END IF; -- 15 END PROCESS; -- 16OUTLED : PROCESS(TMP) -- 17 CASE TMP IS -- 18WHEN "0000" => LED7S <= "0111111"; -- 19WHEN "0001" => LED7S <= "0000110"; -- 20WHEN "0010" => LED7S <= "1011011"; -- 21WHEN "0011" => LED7S <= "1001111"; -- 22WHEN "0100" => LED7S <= "1100110"; -- 23WHEN "0101" => LED7S <= "1101101"; -- 24WHEN "0110" => LED7S <= "1111101"; -- 25WHEN "0111" => LED7S <= "0000111"; -- 26WHEN "1000" => LED7S <= "1111111"; -- 27WHEN "1001" => LED7S <= "1101111"; -- 28END CASE; -- 29 END PROCESS; -- 30END one;1、修改相应行的程序(如果是缺少语句请指出大致的行数)错误1 行号:程序改为:错误2 行号:程序改为:错误3 行号:程序改为:错误4 行号:程序改为:错误5 行号:程序改为:四、设计题1、下面程序是带异步复位、同步置数和移位使能的8位右移移位寄存器的VHDL 描述,试补充完整。

山东大学网络教育期末考试试题及答案-化工仪表及自动化1

山东大学网络教育期末考试试题及答案-化工仪表及自动化1

山东大学网络教育期末考试试题及答案-化工仪表及自动化1一.判断并改错,在题上改即可()1.在自动控制系统方快图中,控制阀的输出信号q在任何情况下都是指向被控对象的,且任何一个简单的自动控制系统都是一个闭环系统。

()2.控制系统的过渡过程是衡量系统品质的依据。

由于在大多数情况下,都是希望得到衰减震荡过程,所以取衰减震荡过程的过渡过程形式来讨论控制过程的品质指标。

()3.变差是指在外界条件不变的情况下,用同一仪表对被测量在仪表一定测量范围内进行正反行程测量时,被测量值正行和反行所得到的两条特性曲线之间的最大偏差。

()4.时间常数T可以理解为:当对象受到阶跃输入作用后,被控变量按初始的变化速度达到新的稳态值的63.2%所需的时间。

()5.操纵变量的选择一般是在诸多影响被控变量的输入中选择一个对被控变量影响显著而且可控性良好的,作为操纵变量。

()6.对于数字式仪表,分辨力是指数字显示器的最末位数值间隔所代表的被测参数变化量。

()7.在固定床反应器中,如反应器内反应温度过高,造成催化剂烧结,则进出口压差增大。

()8.目前,我国生产的仪表常用的精确度等级有0.005,0.02,0.05,0.1,0.2,0.4,0.5,1.0,1.5,2.5,4.0等;一般0.05级以上的仪表常用来作为标准表;工业现场用的测量仪表,其精度大多是0.5以下的。

()9.随动控制系统的特点是给定值不断地变化,而且这种变化不是预先规定好了的。

()10.反应时间就是用来衡量仪表能不能尽快反应出参数变化的品质指标。

二.简述1.在自动控制系统中,执行器起的作用2.试述差压式液位变送器的工作原理。

3.如何选择控制器的正反作用。

4.分程控制系统的特点及应用场合。

5.说明为什么用热电偶测温时要进行冷端温度补偿。

6.微分控制规律是什么,有何特点。

7.双位控制规律的优缺点。

8.离心泵喘振产生的原因。

三.综述题1.测温元件的安装要求。

2.电子电位计与电子平衡电桥的异同点。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

二、EDA名词解释,写出下列缩写的中文(或者英文)含义:(10分)
1.VHDL
2.FPGA
3.RTL
4.SOPC
5.EAB
三、VHDL程序填空:(10分)
下面程序是参数可定制带计数使能异步复位计数器的VHDL描述,试补充完整。

-- N-bit Up Counter with Load, Count Enable, and
-- Asynchronous Reset
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.________________.all;
use IEEE.std_logic_arith.all;
entity counter_n is
__________ (width : integer := 8);
port(data : in std_logic_vector (width-1 downto 0);
load, en, clk, rst : ______ std_logic;
q : out std_logic_vector (_____________ downto 0));
end counter_n;
architecture behave of _______________ is
signal count : std_logic_vector (width-1 downto 0);
begin
process(clk, rst)
begin
if rst = '1' then
count <= _______________; ―― 清零
elsif _______________________ then ―― 边沿检测
if load = '1' then
count <= data;
___________en = '1' then
count <= count + 1;
_____________;
end if;
end process;
________________
end behave; 四、VHDL程序改错:(10分)
仔细阅读下列程序,回答问题
1 LIBRARY IEEE;
2 USE IEEE.STD_LOGIC_1164.ALL;
3
4 ENTITY CNT10 IS
5 PORT ( CLK : IN STD_LOGIC ;
6 Q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0)) ;
7 END CNT10;
8 ARCHITECTURE bhv OF CNT10 IS
9 SIGNAL Q1 : STD_LOGIC_VECTOR(3 DOWNTO 0);
10 BEGIN
11 PROCESS (CLK) BEGIN
12 IF RISING_EDGE(CLK) begin
13 IF Q1 < 9 THEN
14 Q1 <= Q1 + 1 ;
15 ELSE
16 Q1 <= (OTHERS => '0');
17 END IF;
18 END IF;
19 END PROCESS ;
20 Q <= Q1;
21 END bhv;
1. 在MAX+PlusII中编译时,提示的第一条错误为:
Error: Line 12: File e:\mywork\test\cnt10.vhd: VHDL syntax error: If statement must have THEN, but found BEGIN instead
指出并修改相应行的程序(如果是缺少语句请指出大致的行数):
错误1 行号:程序改为:
错误2 行号:程序改为:
2. 若编译时出现如下错误,请分析原因。

五、VHDL 程序设计:(15分)
设计一数据选择器MUX,其系统模块图和功能表如下图所示。

试采用下面三种方式中的两种来描述该数据选择器MUX 的结构体。

MUX
SEL(1:0)
AIN(1:0)BIN(1:0)
COUT(1:0)
SEL COUT 00
011011OTHERS
A or
B A xor B
A nor
B A and B “XX ”
(a) 用if 语句。

(b) 用case 语句。

(c) 用when else 语句。

Library ieee;
Use ieee.std_logic_1164.all;
Entity mymux is Port ( sel : in std_logic_vector(1 downto 0); -- 选择信号输入 Ain, Bin : in std_logic_vector(1 downto 0); -- 数据输入
Cout : out std_logic_vector(1 downto 0) ); End mymux;
六、根据原理图写出相应的VHDL 程序:(15分)
七、综合题:(20分)
(一)已知状态机状态图如图a 所示;完成下列各题:
st0
st1
st2
st3
in_a = “00”
in_a /= “00”
in_a = “01”
in_a /= “01”
in_a = “11”
in_a /= “11”
in_a = “11”
in_a /= “11”
out_a <= “0101”;
out_a <= “1000”;
out_a <= “1100”;
out_a <= “1101”;
图a 状态图
REG
COM
clk reset
in_a
out_a
c_state
n_state
图b 状态机结构图
1. 试判断该状态机类型,并说明理由。

2. 根据状态图,写出对应于结构图b ,分别由主控组合进程和主控时序进程组成的VHDL 有限状态机描述。

3. 若已知输入信号如下图所示,分析状态机的工作时序,画出该状态机的状态转换值(c_state )和输出控
制信号(out_a);
4. 若状态机仿真过程中出现毛刺现象,应如何消除;试指出两种方法,并简单说明其原理。

(二)已知一个简单的波形发生器的数字部分系统框图如下图所示
图中lcnt、lrom都是在MAX+PlusII中使用MegaWizard调用的LPM模块,其VHDL描述中Entity部分分别如下:
ENTITY lcnt IS
PORT
(
clock : IN STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (9 DOWNTO 0)
);
END lcnt;
ENTITY lrom IS
PORT
(
address : IN STD_LOGIC_VECTOR (9 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (9 DOWNTO 0)
);
END lrom;
试用VHDL描述该系统的顶层设计(使用例化语句)。

相关文档
最新文档