电子设计自动化技术试卷1答案
自动化考试试题_含答案
第一类:填空题一.填空题1.自动化控制系统按被控量的时间特性分为(连续量)和(离散量)。
2.PLC 全称为(可编程序逻辑控制器),DCS全称为( 集散控制系统)。
3.输入输出单元是(PLC)与工业过程控制现场之间的连接部件。
4.PLC的工作方式是(周期扫描方式)。
5. 冗余设计可采用(热备份)或(冷备份)。
6.MPI 接口一般的默认传输速率(187.5 )kbps,PROFIBUS-DP接口主要用于连接(分布式)I/O,传输速率(12)Mbps.7.(授权)是使用软件的“钥匙”,只用将他安装好,软件才能正常使用。
8. 自动控制系统按照系统按结构分类,有(闭环)控制系统(开环)控制系统(复合)控制系统。
9. 自动控制系统按照闭环数目分类(单回路)控制系统(多回路)控制系统。
10. 自动控制系统的方块图由串联、(并联)、(反馈)三种基本形式组成。
11. 串行数据通信的方向性结构有三种,即( 单工)、(半双工)和(全双工)。
12. 最常用的两种多路复用技术为(频分多路复用)和(时分多路复用),其中,前者是同一时间同时传送多路信号,而后者是将一条物理信道按时间分成若干个时间片轮流分配给多个信号使用。
13. 在TCP/IP层次模型中与OSI参考模型第四层(运输层)相对应的主要协议有(TCP)和(UDP),其中后者提供无连接的不可靠传输服务。
14.局域网使用的三种典型拓朴结构是(总线型)、(环形网)、(星型网)。
15.开放系统互连参考模型OSI中,共分七个层次,其中最下面的三个层次从下到上分别是(物理层)、(数据链路层)、(网络层)。
16、每台PLC至少有一个(CPU ),它按PLC的系统程序赋予的功能接收并存贮用户程序和数据,用扫描的方式采集由现场输入装置送来的状态或数据,并存入规定的寄存器中。
17、PLC的对外功能,主要是通过各种(I/O接口模块)与外界联系的。
18、PLC具有通信联网的功能,它使(PLC与PLC)之间、PLC与上位计算机以及其他智能设备之间能够交换信息,形成一个统一的整体,实现分散集中控制。
江苏开放大学电子设计自动化作业1
电子设计自动化 > 第二学习单元:电子电路仿真与分析第一次作业(占成绩的15%)“地”元器件在__A___元器件库栏下。
客观题满分: 2分得分:2分A电源B基本器件C晶体管D指示器件学生答案:A老师点评:“电阻、电容、电感”元器件在__B___元器件库栏下。
客观题满分: 2分得分:2分A电源B基本器件C晶体管D指示器件学生答案:B老师点评:“三极管”元器件在__C___元器件库栏下。
客观题满分: 2分得分:2分A电源B基本器件C晶体管D指示器件学生答案:C老师点评:“开关”元器件在___B__元器件库栏下。
客观题满分: 2分得分:2分A电源B基本器件C晶体管D指示器件学生答案:B老师点评:元器件水平翻转的快捷键是__A___。
客观题满分: 2分得分:2分A Alt+XB Alt+YC Ctrl+RD Ctrl+Shift+R学生答案:A老师点评:元器件顺时针旋转90°的快捷键是__C___。
客观题满分: 2分得分:2分A Alt+XB Alt+YC Ctrl+RD Ctrl+Shift+R学生答案:C老师点评:万用表除了测量电压、电流、电阻外还可测量__D___。
客观题满分: 2分得分:2分A频率B周期C相位D分贝学生答案:D老师点评:函数信号发生器有__C___个接线端口。
客观题满分: 2分得分:2分A 1B 2C 3D 4学生答案:C老师点评:频率计数器有__A___个接线端口。
客观题满分: 2分得分:2分A 1B 2C 3D 4学生答案:A老师点评:Multisim软件Place菜单下Wire完成放置__A___。
客观题满分: 2分得分:2分A导线B元件C标题D节点学生答案:A老师点评:Multisim软件系统工具栏由__ABD___工具栏组成。
客观题满分: 3分得分:3分A标准B视窗C器件D主要学生答案:A;B;D老师点评:Multisim软件电路工作区包含__ABCD___。
电子科技大学2008年电子设计自动化技术期末试卷A答案
二. 改错题(5 分×3 题,共 15 分) 以下的程序在编译时都出现了错误,请用波浪线划出错误的地方并修改错误。 1. (本题 5 分) LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY Latch IS PORT ( INP: IN STD_LOGIC_VECTOR (7 downto 0); OUTP: OUT STD_LOGIC_VECTOR (7 downto 0); CLK: IN STD_LOGIC ); END Latch; ARCHITECTURE Latch OF Latch IS BEGIN PROCESS(CLK) BEGIN IF(CLK='1') THEN OUTP<=INP; END IF; wait on INP; END PROCESS; END Latch; 【参考答案】 :
波浪线标出的部分将报错,原因是对 std_logic 类型的数据进行“+” 、 “-”运算需要声明包集合 STD_LOGIC_unsigned,将 “use IEEE.STD_LOGIC_unsigned.all;”加在程序的第三行即可。
第 3 页 共 8 页
三. 综合题(本大题有 4 小题,共计 45 分) 1.(本题 9 分) 请将下面 VHDL 示例程序中的条件信号赋值语句等价代换为进程语句 结构,要求代换前后程序是完全等价的。 LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY simp IS PORT(a, b,c : IN STD_LOGIC; y : OUT STD_LOGIC); END simp; ARCHITECTURE logic OF simp IS BEGIN y <= a WHEN c=’0’ ELSE b WHEN c=’1’ ELSE ‘X’; END logic; 【参考答案】 : 共 9 分,考核重点是敏感信号的选择,加粗部分占 5 分 LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY simp_prc IS PORT(a,b,c : IN STD_LOGIC; y : OUT STD_LOGIC); END simp_prc; ARCHITECTURE logic OF simp_prc IS BEGIN PROCESS(a, b, c) BEGIN IF c=’0’ THEN y <= a; ELSIF c=’1’ THEN y <= b; ELSE y <= ‘X’; END IF; END PROCESS; END logic; 2.(本题 13 分)请编写一个共阳极数码管的显示译码程序。din 是 4 位二进制数据输 入,其输入范围从 0000~1001,a~g 是显示译码电路的输出端,与数码管的 LED 显 示段相对应。要求: (1)请写出完整的输入输出译码表(5 分)8 分)Top-Down 设计方法中逻辑综合的作用是什么? 【参考答案】 :
四川省自考eda试题及答案
四川省自考eda试题及答案四川省高等教育自学考试电子设计自动化(EDA)试题及答案一、单项选择题(每题1分,共10分)1. 在EDA技术中,HDL是指什么?A. Hardware Description LanguageB. Human-Device LanguageC. High-Definition LanguageD. Home Development Language答案:A2. 下列哪个软件不是EDA工具?A. CadenceB. MATLABC. SynopsysD. Microsoft Office答案:D3. 在EDA设计流程中,逻辑综合的主要目的是?A. 优化电路布局B. 将高级语言代码转换为门级电路C. 提供电路的热仿真分析D. 进行电源管理设计答案:B4. 以下哪个不是EDA设计中的常见文件格式?A. VHDLB. VerilogC. PDFD. EDIF答案:C5. 在EDA工具中,仿真工具的主要作用是什么?A. 绘制电路原理图B. 对电路进行逻辑功能测试C. 进行PCB布线D. 生成电路板生产文件答案:B6. 下列哪个不是EDA设计中的布局与布线工具?A. Place and RouteB. Schematic CaptureC. FloorplanningD. Power Planning答案:B7. 在EDA设计中,时序分析的主要目的是?A. 确定电路的功耗B. 确保电路的信号完整性C. 计算电路的成本D. 评估电路的散热性能答案:B8. 以下哪个是EDA设计中的测试工具?A. Logic SimulatorB. OscilloscopeC. MultimeterD. All of the above答案:D9. 在EDA技术中,ASIC指的是什么?A. Application-Specific Integrated CircuitB. Advanced System for Integrated CircuitC. Automated System for Integrated CircuitD. Application-Specific Integrated Computer答案:A10. 下列哪个是EDA设计中的优化工具?A. Synthesis ToolB. Layout ToolC. Verification ToolD. Both A and B答案:D二、多项选择题(每题2分,共10分)11. 在EDA设计中,以下哪些属于前端设计工具?A. Schematic CaptureB. Place and RouteC. Logic SimulatorD. Floorplanning答案:A C12. 在EDA设计流程中,后端设计通常包括哪些步骤?A. 布局(Layout)B. 布线(Route)C. 时序分析(Timing Analysis)D. 原理图绘制(Schematic Drawing)答案:A B C13. 以下哪些因素会影响EDA设计的布线结果?A. 电路板尺寸B. 信号完整性C. 电源管理D. 元件成本答案:A B C14. 在EDA设计中,哪些因素需要在逻辑综合时考虑?A. 设计的面积B. 电源消耗C. 操作频率D. 成本预算答案:A B C15. 下列哪些是EDA设计中的验证工具?A. Logic SimulatorB. Timing SimulatorC. RTL ViewerD. Oscilloscope答案:A B C三、简答题(每题5分,共20分)16. 简述EDA技术在现代电子设计中的重要性。
电子设计自动化智慧树知到答案章节测试2023年滨州学院
第一章测试1.请指出Altera Cyclone系列中的EP1C6Q240C8这个器件是属于()A:GALB:CPLDC:ROMD:FPGA答案:D2.下列对CPLD结构与工作原理的描述中,正确的()A:CPLD是基于查找表结构的可编程逻辑器件B:早期的CPLD是从FPGA的结构扩展而来C:在Xilinx公司生产的器件中,XC9500系列属CPLD结构D:CPLD即是现场可编程逻辑器件的英文简称答案:C3.下面哪个是FPGA的可编程结构()A:与或阵列可编程B:与阵列可编程C:或阵列可编程D:查找表(LUT)答案:D4.IP核在EDA技术和开发中具有十分重要的地位,IP是指()A:都不是B:知识产权C:网络地址D:互联网协议答案:B5.下列哪个是硬件描述语言的英文缩写()A:EDAB:ISPC:ASICD:HDL答案:D第二章测试1.VHDL语言中用于存放用户设计和定义的一些设计单元和程序包的工作库是()A:STD库B:VITAL库C:WORK库D:IEEE库答案:C2.在VHDL标识符命名规则中,以()开头的标识符是正确的。
A:字母或数字B:下划线C:字母D:数字答案:C3.不符合VHDL标准的标识符是()A:ad12B:a2b2C:%50D:a_b1答案:C4.对于信号和变量,哪一个是不正确的()A:变量的赋值符号是<=B:信号的赋值符号是<=C:变量的关键词是VARIABLED:信号的关键词是SIGNAL答案:A5.VHDL程序中,实体描述()A:器件外部特性B:器件的内部功能C:器件的综合约束D:器件外部特性与内部功能答案:A第三章测试1.必须在库和程序包中提前声明才能使用的数据类型是()A:BITB:BIT_VECTORC:STD_LOGICD:INTEGER答案:C2.关于VHDL数据类型,正确的是()A:用户可以定义子类型B:用户不能定义子类型C:用户可以定义任何类型的数据D:前面三个答案都是错误的答案:A3.使用STD_LOGIG_1164中的数据类型时()A:必须在库和包集合中声明B:可以直接调用C:必须在结构体中声明D:必须在实体中声明答案:A4.STD_LOGIC数据类型中定义的强未知字符是()A:xB:ZC:XD:z答案:C5.VHDL数据类型转换函数用于实现VHDL中各种数据类型互相转换。
电子设计自动化技术
电子设计自动化技术一、选择题:(每题2分,共30分)1. 不符合1987VHDL标准的标识符是()。
A. a2b2B. a1b1C. ad12D. %502. VHDL语言中变量定义的位置是()。
A. 实体中中任何位置B. 实体中特定位置C. 结构体中任何位置D. 结构体中特定位置3. VHDL语言中信号定义的位置是()。
A. 实体中任何位置B. 实体中特定位置C. 结构体中任何位置D. 结构体中特定位置4. 变量是局部量可以写在()。
A. 实体中B. 进程中C. 线粒体D. 种子体中5. 变量和信号的描述正确的是()。
A. 变量赋值号是:=B. 信号赋值号是:=C. 变量赋值号是<=D. 二者没有区别6. 变量和信号的描述正确的是()。
A. 变量可以带出进程B. 信号可以带出进程C. 信号不能带出进程D. 二者没有区别7. 关于VHDL数据类型,正确的是()。
A. 数据类型不同不能进行运算B. 数据类型相同才能进行运算C. 数据类型相同或相符就可以运算D. 运算与数据类型无关8. 下面数据中属于实数的是()。
A. 4.2B. 3C. ‘1’D. “11011”9. 下面数据中属于位矢量的是()。
A. 4.2B. 3C. ‘1’D. “11011”10. 正确给变量X赋值的语句是()。
A. X<=A+B;B. X:=A+b;C. X=A+B;D. 前面的都不正确11. 可以不必声11.明而直接引用的数据类型是()。
A. STD_LOGICB. STD_LOGIC_VECTORC. BITD. 前面三个答案都是错误的12. STD_LOGIG_1164中定义的高阻是字符()。
A. XB. xC. zD. Z13. STD_LOGIG_1164中字符H定义的是()。
A. 弱信号1B. 弱信号0C. 没有这个定义D. 初始值14. 使用STD_LOGIG_1164使用的数据类型时()。
A.可以直接调用B.必须在库和包集合中声明C.必须在实体中声明D. 必须在结构体中声明15. MAX+PLUSII的设计文件不能直接保存在()。
电子设计自动化(eda)期末考试试题及答案
PROCESS(CLK)
BEGIN
IFCLK'EVENTANDCLKTHEN
Q1<=Q1+1;
ENDPROCESS;
Q<=Q1;
ENDbhv;
四、设计,要求写出完整的vhdl代码。(65分)
1、16位硬件加法器,要求有进位输入和进位输出。(15分)
2、七段数码管译码显示电路设计(数码管共阳极接法)(12分)
USEIEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITYADDER16IS
PORT(CIN:INSTD_LOGIC;
A:INSTD_LOGIC_VECTOR(15DOWNTO0);
B:INSTD_LOGIC_VECTOR(15DOWNTO0);
Sห้องสมุดไป่ตู้OUTSTD_LOGIC_VECTOR(15DOWNTO0);
IFCLK'EVENTANDCLK='1'THEN
Q1<=Q1+1;
ENDIF;
ENDPROCESS;
Q<=Q1;
ENDbhv;
每个2分
四、设计,要求写出完整的vhdl代码。(65分)
1、16位硬件加法器,要求有进位输入和进位输出。(15分)
LIBRARYIEEE;
USEIEEE.STD_LOGIC_1164.ALL;
(9)在vhdl中变量可在结构体和进程中定义和使用。()
(10)在进程中同一信号有多个赋值源,实际完成赋值的是最接近begin的信号。()
二、简答题(15分)
1、简述fpga/cpld的设计流程。(5分)
2、在vhdl中端口模式有那几种?并说明数据流动方向。(4分)
电子设计自动化技术答案
第一章1-1 EDA技术与ASIC设计与FPGA开发有什么关系? P3~4答:利用EDA技术进行电子系统设计的最后目标就是完成专用集成电路ASIC的设计与实现;FPGA与CPLD就是实现这一途径的主流器件。
FPGA与CPLD通常也被称为可编程专用IC,或可编程ASIC。
FPGA与CPLD的应用就是EDA技术有机融合软硬件电子设计技术、SoC(片上系统)与ASIC设计,以及对自动设计与自动实现最典型的诠释。
1-2与软件描述语言相比,VHDL有什么特点? P6答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。
综合器将VHDL程序转化的目标就是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。
综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性与创造性,它不就是机械的一一对应式的“翻译”,而就是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。
l-3什么就是综合?有哪些类型?综合在电子设计自动化中的地位就是什么? P5什么就是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为与功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。
有哪些类型? 答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。
(2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。
(3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。
(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。
综合在电子设计自动化中的地位就是什么? 答:就是核心地位(见图1-3)。
电子设计与制作考试试卷及答案
电子设计与制作考试试卷及答案电子设计与制作是一门重要的学科,涉及到电子技术的理论与实践。
对于电子工程师来说,熟悉电子设计与制作的知识非常重要。
下面是一份电子设计与制作考试试卷及答案,希望能够帮助大家更好地理解和掌握这门学科。
一、选择题1. 在电子设计中,什么是布线?A. 将电子元件连接起来的过程B. 设计电子电路图的过程C. 制作电子原型的过程D. 按照电路图进行焊接的过程答案:A2. 以下哪个是电子设计与制作的主要工具?A. 电脑B. 示波器C. 鼠标D. 锤子答案:A3. 在电子设计中,什么是原理图?A. 电子电路的图纸B. 电子元器件的图纸C. 电子产品的图纸D. 电子工程师的图纸答案:A4. 以下哪个是电子设计与制作的重要环节?A. 电路仿真B. PCB设计C. 元器件选型D. 软件编程答案:B5. 在电子设计与制作中,什么是PCB?A. 印刷电路板B. 电子元器件C. 电子产品D. 电子电路图答案:A二、填空题1. 在电子设计中,常用的电子元器件有________、________和________等。
答案:电阻、电容和电感2. 在PCB设计中,常用的软件有________、________和________等。
答案:Altium Designer、Eagle和KiCad3. 电子设计中常用的编程语言有________、________和________等。
答案:C、C++和Python4. 在电子设计与制作中,常用的工具有________、________和________等。
答案:万用表、示波器和焊接工具三、简答题1. 请简要介绍电子设计与制作的基本流程。
答案:电子设计与制作的基本流程包括电路设计、PCB设计、元器件选型、电路仿真、PCB制作和焊接组装等环节。
首先,根据需求设计电子电路图,然后使用PCB设计软件进行PCB设计。
接下来,选取合适的电子元器件,并进行电路仿真以验证电路的可行性。
电子设计自动化试卷试题(附答案)
山东英才学院2014-2015学年第一学期期末考试课程代码: 课程名称:《电子设计自动化》试卷(A )年级 2011级 专业 本科电气工程(本试卷考试时间60分钟 满分100分)一、单项选择题(本大题共10道小题,每小题2分,共20分。
)1、2. 基于EDA 软件的FPGA/CPLD 设计流程为:原理图/HDL 文本输入→________→综合→适配→__________→编程下载→硬件测试。
A. 功能仿真 B. 时序仿真 C. 逻辑综合 D. 配置3. IP 核在EDA 技术和开发中具有十分重要的地位;提供用VHDL 等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP 核为__________。
A. 软IP B. 固IP C. 硬IP D. 全对4. 综合是EDA 设计流程的关键步骤,在下面对综合的描述中,_________是错误的。
A. 综合就是把抽象设计层次中的一种表示转化成另一种表示的过程。
B. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD 的基本结构相映射的网表文件。
C. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束。
D. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的)。
5. 大规模可编程器件主要有FPGA 、CPLD 两类,其中CPLD 通过_______实现其逻辑功能。
A. 可编程乘积项逻辑B. 查找表(LUT )C. 输入缓冲D. 输出缓冲6. VHDL 语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述___________。
A. 器件外部特性B. 器件的内部功能C. 器件外部特性与内部功能D. 器件的综合约束7. 电子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);下列方法中________不属于面积优化。
(完整word版)电子设计自动化技术试卷1答案
Programmable Logic Device 可编程逻辑器件7. JTAGJTAG Joint Test Action Group 联合测试行动小组8. IPIntellectual Property 知识产权9. ASICApplication Specific Integrated Circuits 专用集成电路10.VHDLVery-High-Speed Integrated Circuit Hardware Description Language超高速集成电路硬件描述语言每小题写出英文全称2分,中文含义1分三、VHDL程序填空1 SEG7DEC "1101101" "1111111"2 '1' WHEN A='0' AND B='1' ELSE3 0 ENA='1' outy+14 0000000000000000 D sreg(15 downto 1)<=sreg(14 downto 0)每空3分四、1.时序电路的初始状态常常由复位信号来设置。
根据复位信号复位时机的不同,可将复位分为同步复位和异步复位两种(4分)。
所谓同步复位,就是当复位信号有效且在给定的时钟边沿到来时,电路才被复位(3分),此时复位的状态与时钟同步,有助于信号的稳定和系统毛刺的消除;异步复位状态与时钟状态不要求同步,一旦复位信号有效,电路就被复位(3分)。
2.主要PLD厂商:1)Altera:Altera公司在20世纪90年代以后发展很快,是最大的可编程逻辑器件供应商之一。
(2分)2)Xilinx: FPGA的发明者,老牌PLD公司,是最大的可编程逻辑器件供应商之一。
(2分)3)Lattice (2分)CPLD/FPGA器件的开发设计一般可以分为设计输入(1分)、设计实现(1分)、设计校验(1分)和下载编程(1分)四个步骤。
电子设计自动化试卷参考答案
山东英才学院2014-2015学年第一学期期末考试参考答案及评分标准课程代码:02117024课程名称:《电子设计自动化》试卷(A)年级2011级专业本科电气工程(本试卷考试时间60分钟满分100分)注意事项:1.务必写清大小题号、每个大题的题型、大小题分值、评分标准。
2.B5纸张打印。
3.正文字体和字号:中文字体统一使用宋体、英文字体统一使用Times New Roman,字号统一使用五号,题与题之间的行间距:固定值20磅。
文科类样例:一、单项选择题(每小题2分,共20分。
)1、A2、B3、A4、D5、A6、B7、A8、B9、A 10、A二、程序填空题(共10个填空,每空得2分,共20分。
)1、STD_LOGIC_11642、CNT103、BEGIN4、CLK'EVENT AND CLK = '1'5、Q <= Q1;6、IN7、OUT8、79、ELSE 10、B三、EDA名词解释题(1至6题每题2分,第7题8分共20分。
)1.ASIC 专用集成电路2.FPGA 现场可编程门阵列3.CPLD 复杂可编程逻辑器件4.EDA 电子设计自动化5.IP 知识产权核6.SOC 单芯片系统简要解释JTAG,指出JTAG的用途JTAG,joint test action group,联合测试行动小组的简称,又意指其提出的一种硬件测试标准,常用于器件测试、编程下载和配置等操作。
四、程序改错题(每小题5分,共10分。
)1.在程序中存在两处错误,试指出,并说明理由:第14行 TMP附值错误第29与30行之间,缺少WHEN OTHERS语句2.修改相应行的程序:错误1 行号: 9 程序改为:TMP : STD_LOGIC_VECTOR(3 DOWNTO 0);错误2 行号: 29 程序改为:该语句后添加 WHEN OTHERS => LED7S <= "0000000";四、程序改错题(每小题15分,共30分。
《电子设计自动化》期终考试试卷
适用专业:1、可编程逻辑器件技术经历了、、三个发展阶段。
2、以EDA方式设计实现的电路设计文件,最终可以编程下载到和中,完成硬件设计和验证。
3、EDA设计输入主要包括、和。
4、常用的的FPGA配置方式为、、。
5、实际项目中,实现FPGA的配置常常需要附加一片。
6、VHDL的进程语句是由语句组成的,但其本身却是执行的。
7、VHDL有、、、四类操作符。
8、LPM_ROM宏模块支持的初始化数据文件有和两种。
二、单项选择题(共40分,每小题2分)1、大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理的描述中,正确的是:_____。
A. CPLD是基于查找表结构的可编程逻辑器件B. CPLD即是现场可编程逻辑器件的英文简称C. 早期的CPLD是从FPGA的结构扩展而来D. 在Xilinx公司生产的器件中,XC9500系列属CPLD结构2、下面既是并行语句又是串行语句的是。
A.变量赋值B.信号赋值C.PROCESS语句D.WHEN…ELSE语句3、基于VHDL设计的仿真包括有①门级时序仿真、②行为仿真、③功能仿真和④前端功能仿真这四种,按照自顶向下的设计流程,其先后顺序应该是:_________。
A.①②③④ B.②①④③C.④③②①D.②④③①4、一个项目的输入输出端口是定义在。
A.实体中 B.结构体中C.任何位置D.进程体5、MAXPLUSII中编译VHDL源程序时要求。
A.文件名和实体可不同名B.文件名和实体名无关C.文件名和实体名要相同D.不确定6、下面对利用原理图输入设计方法进行数字电路系统设计,哪一种说法是正确的:__________。
A.原理图输入设计方法直观便捷,很适合完成较大规模的电路系统设计B.原理图输入设计方法一般是一种自底向上的设计方法C.原理图输入设计方法无法对电路进行功能描述D.原理图输入设计方法不适合进行层次化设计7、下面不属于顺序语句的是。
A.IF语句B.LOOP语句C.PROCESS语句D.CASE语句8、VHDL运算符优先级的说法正确的是。
电子设计自动化考试试题
电子设计自动化考试试题一、选择题(共 20 题,每题 3 分)1、以下哪种软件是常用的电子设计自动化(EDA)工具?()A AutoCADB PhotoshopC Altium DesignerD Microsoft Word2、在 EDA 中,用于原理图绘制的工具通常不包括()A 导线绘制工具B 元件库管理工具C 布线规则设置工具D 3D 模型生成工具3、电子设计中,以下哪种文件格式常用于保存 PCB 布局?()AdocBpdfCschDpcb4、 EDA 软件中的仿真功能主要用于()A 查看设计的外观效果B 预测电路的性能和功能C 计算元件的成本D 生成生产文件5、在PCB 布线中,以下哪种布线方式有利于减少信号干扰?()A 直角布线B 45 度角布线C 随意布线D 曲线布线6、对于复杂的数字电路设计,通常采用的设计方法是()A 自底向上B 自顶向下C 随机设计D 模仿已有设计7、 EDA 工具中的库文件包含了()A 元件符号和封装B 电路原理图C PCB 布局图D 仿真结果8、以下哪种 EDA 工具常用于集成电路设计?()A ProtelB CadenceC MultisimD Eagle9、在进行 PCB 设计时,电源层和地层的设置主要是为了()A 提高布线效率B 增强信号稳定性C 便于元件布局D 节省板材空间10、电子设计自动化中,DRC 检查的目的是()A 检查设计的美观性B 检查设计是否符合规则C 计算布线长度D 评估电路性能11、以下哪种元件在 EDA 库中通常需要自定义?()A 电阻B 电容C 集成电路芯片D 二极管12、对于高速数字电路设计,以下哪个因素最为关键?()A 元件布局B 布线长度C 电源稳定性D 信号完整性13、 EDA 软件中的布线策略通常不包括()A 最短路径布线B 最小电阻布线C 最小电容布线D 最大电流布线14、在原理图设计中,网络标号的作用是()A 标注元件参数B 表示信号连接C 区分不同元件D 增加原理图的可读性15、以下哪种 EDA 工具支持硬件描述语言(HDL)设计?()A ProteusB PADSC Quartus IID KiCAD16、进行 PCB 设计时,过孔的主要作用是()A 连接不同层的导线B 安装元件C 增强电路板强度D 标注电路板信息17、电子设计自动化中,以下哪种文件用于制造 PCB 板?()A 原理图文件B PCB 文件C 网络表文件D 仿真文件18、在 EDA 中,用于模拟电路仿真的工具通常是()A SPICEB ModelSimC ISED Vivado19、以下哪种情况可能导致 EDA 软件中的布线失败?()A 元件布局不合理B 布线规则设置过松C 电路板尺寸过大D 电源电压过高20、对于多层 PCB 板设计,内层通常用于()A 信号层B 电源层和地层C 元件层D 丝印层二、填空题(共 10 题,每题 2 分)1、 EDA 软件中,常用的原理图绘制快捷键有_____、_____等。
电子设计自动化试题
函授2017级 第四 学期 电子设计自动化 试卷第 1 页 共 1 页成 绩 统 计 表题号 一 二 三 四 五 六 七 八 合计 得分 阅卷人考生姓名:___________学号 层次 专业 一、填空题(每空1分,共20分)1.EDA 是电子设计自动化的英文缩写,其全称(英文)是 。
2.Multisim 2001仿真器工作的四个主要阶段: 、 、 和 。
3.Multisim 中定义子线路有三种工作方式: 、 和 。
4.CPLD/FPGA 都是 逻辑器件。
5.一个完整的VHDL 程序通常包含 、 、 、 和 五部分。
6.VHDL 中,客体(Object )指所有可以赋值的对象,主要包括 、 和 。
7.VHDL 中,bit 数据类型的取值有两种,分别是 和 。
8.Protel 99SE 编辑的印制电路板板图文件的扩展名是 。
二、判断题(每题2分,共20分)1.Multisim 2001、Protel 99SE 和Max+ plus II 都可以进行层次设计。
( ) 2.Multisim 2001可以将电路图转化成多种PCB 排版软件的网络表格式文件。
( ) 3.Multisim 2001是16位的电路仿真软件。
( )4.Multisim 中调用虚拟仪器万用表式,不必设置量程,系统能自动调整。
( ) 5.用数字万用表测量交流信号时,显示的是交流信号的峰值。
( ) 6.在VHDL 中,可以用#、$、%等符号用作标志符命名。
( ) 7.PROTEL 中,可以利用报表文件生成元器件清单。
( )8.PROTEL 进行PCB 设计时,信号层用来放置主要用于放置与信号有关的电气元素。
( )9.VHDL 中,整数(Integer )的取值范围是0~232。
( )10.VHDL 中,符号“<=”即具有信号代入的功能,又具有小于等于比较的功能。
( ) 三、选择题(每题2分,共20分)每题只有一个正确答案,请将答案代码填入括号内。
电子设计自动化(eda)期末考试试题及答案
(4)FPGA是基于查找表结构的器件.( )
(5)在QUARTUSⅡ中,工作文件夹允许是根目录。( )
(6)STD_LOGIC中,‘0’,‘1',‘Z',‘W’可以综合。( )
(7)在case语句中允许有相同选择值的条件句出现。( )
(8)在vhdl中常量具有全局性。( )
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164。ALL;
USE IEEE.STD_LOGIC_UNSIGNED。ALL;
ENTITY ADDER16 IS
PORT ( CIN : IN STD_LOGIC ;
A : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
二、简答题(15分)
1、简述fpga/cpld的设计流程。(5分)
设计输入(1分)
HDL综合(1分)
布线布局(适配)(1分)
仿真(1分)
下载和硬件测试(1分)
2、在vhdl中端口模式有那几种?并说明数据流动方向。(4分)
“IN”单向只读模式,数据只能通过此端口被读入实体(1分)
“OUT”单向输出模式,数据通过此端口向实体外流出(1分)
THEN Q1 〈= D ;
END IF;
END PROCESS ;
Q <= Q1 ;
END bhv;
(2)LIBRARY IEEE ;
USE IEEE.STD_LOGIC_1164.ALL ;
ENTITY DFF1 IS
PORT (CLK : IN STD_LOGIC ;
D : IN STD_LOGIC ;
《电子设计自动化》考试试卷答案
一、1.VHDL的英文全写是:VHSIC(Very High Speed Integrated Circuit)Hardware Descriptiong Language.翻译成中文就是超高速集成电路硬件描述语言2. EDA是电子设计自动化(Electronic Design Automation)的缩写。
3. FPGA,即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。
4. CPLD复杂可编程逻辑器件,是从PAL和GAL器件发展出来的器件,相对而言规模大,结构复杂,属于大规模集成电路范围。
是一种用户根据各自需要而自行构造逻辑功能的数字集成电路。
5. 同步复位是复位信号和时钟同步,当时钟上升沿检测到复位信号,执行复位操作。
二、1.(1)与其他的硬件描述语言相比,VHDL具有更强的行为描述能力(2)VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。
(3)VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。
4)对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表。
2. ①CPLD更适合完成各种算法和组合逻辑,FPGA更适合于完成时序逻辑。
②CPLD的连续式布线结构决定了它的时序延迟是均匀的和可预测的,而FPGA的分段式布线结构决定了其延迟的不可预测性。
③在编程上FPGA比CPLD具有更大的灵活性④FPGA的集成度比CPLD高,具有更复杂的布线结构和逻辑实现。
⑤CPLD比FPGA使用起来更方便。
⑥CPLD的速度比FPGA快,并且具有较大的时间可预测性。
⑦在编程方式上,CPLD主要是基于E2PROM或FLASH存储器编程,编程次数可达1万次,优点是系统断电时编程信息也不丢失。
⑧CPLD保密性好,FPGA保密性差。
01 第一章 EDA技术开发基础 习题答案
填空题1、EDA是电子设计自动化(Electronic Design Automation)的缩写。
2、目前应用最为广泛的可编程逻辑器件是CPLD和FPGA。
3、硬件描述语言HDL(Hardware Description Language)是一种对于数字电路和系统进行性能描述和模拟的语言。
4、Quartus Ⅱ集成开发环境支持原理图、语言文本输入方式和波形或EDIF格式的文件作为输入5、目前应用比较广泛的EDA集成开发环境主要包括Altera公司的Quartus II,Xilinx 公司的ISE,以及Lattice公司的ispLEVER。
6、与VerilogHDL相比,VHDL在门级电路描述方面不如VerilogHDL,但在系统级抽象描述方面具有很大优势。
7、数字系统指的是交互式的、以离散形式表示的,具有存储、传输、信息处理能力的逻辑子系统的集合。
8、所谓仿真是指在集成开发环境中对用户的设计进行模拟运算以得到一个预期结果的过程。
综述题1、EDA设计主要涉及那四个方面的内容?答案:EDA技术涉及面广,内容丰富,其主要涉及如下四个方面的内容:●可编程逻辑器件(PLD):即应用EDA设计完成的电子系统的载体,包括CPLD、FPGA等。
●硬件描述语言(HDL):用于描述系统的结构和功能,是EDA设计的主要表达手段,包括VHDL、AHDL、VerilogHDL等。
●集成开发环境:是EDA设计的开发环境,常见的有Quartus、Foundation Series等。
●实验开发系统:实现可编程器件下载和验证的工具,包括了编程器、开发板等。
2、硬件描述语言HDL和传统原理图设计方法比较有那些优点?答案:与传统的原理图设计方法相比较,硬件描述语言能更有效表示硬件电路的特性,具有更多优点。
●用硬件描述语言(HDL)设计电路能够获得非常抽象的描述,设计与具体的硬件•2 •电路无关。
对设计者而言,在高抽象层次进行系统设计,设计者可以将精力主要集中在系统级问题上,而不必关心低层次结构设计或制造工艺等的细节问题,这样可以节省开发时间和成本。
09级《电子设计自动化技术》考试A卷参考答案
五. 用 VHDL 设计一个分频电路,要求将 20MHZ 的方波信号变为 100KHZ 的方波 信号。 (13 分)
答: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; (2 分) ENTITY JYFP IS PORT( CLK_IN,RESET:IN STD_LOGIC; CLK_OUT:OUT STD_LOGIC ); END ENTITY JYFP; (3 分) ARCHITECTURE ART OF JYFP IS CONSTANT DIVIDE_PERIOD:integer :=50; (2 分) --将 20MHZ 的信号变为 100KHZ,分频常数为 20000000/100000=200 BEGIN DIVIDE_CLK:PROCESS(CLK_IN,RESET) IS VARIABLE CNT:INTEGER RANGE 0 TO 49; BEGIN IF (RESET = '1') THEN CNT := 0; CLK_OUT <= '0'; ELSIF RISING_EDGE(CLK_IN) THEN IF (CNT < (DIVIDE_PERIOD/2) THEN CLK_OUT <= '1'; --前 25 个周期输出为高电平 CNT := CNT + 1; ELSIF (CNT < (DIVIDE_PERIOD-1)) THEN CLK_OUT <= '0'; --后 25 个周期输出为低电平 CNT := CNT + 1; ELSE CNT := 0; END IF; END IF; END PROCESS DIVIDE_CLK; END ARCHITECTURE ART; (6 分)
自动化设计考试试题及答案
自动化设计考试试题及答案考试题目:第一部分:选择题(共40分)1.下列哪个工具可以帮助设计师在自动化设计中进行电路仿真和验证?A.EDA工具B.CAD工具C.PCB设计工具D.VLSI设计工具2.自动化设计中的RTL是指:A.实时操作系统B.寄存器传输级描述C.射频技术D.嵌入式系统设计3.以下哪个IEEE标准用于描述EDA语言和环境的标准化?A.IEEE 802.3B.IEEE 1364C.IEEE 802.11D.IEEE 16194.自动化设计中的HDL是指:A.硬件描述语言B.高级编程语言C.网络协议D.数据库语言第二部分:填空题(共30分)1._______ 是自动化设计过程中将高级抽象设计转换为可综合的表示形式的工具。
2._______ 是用于实现时序逻辑电路功能的技术。
3._______ 是EDA工具中用于综合和优化电路的重要步骤。
4._______ 是EDA工具中用于布局和布线的重要步骤。
5._______ 是自动化设计中用于验证电路正确性的技术。
第三部分:设计题(共30分)设计一个4位2进制加法器电路,在给定的4个输入信号A3、A2、A1和A0以及B3、B2、B1和B0的情况下,输出4位结果信号S3、S2、S1和S0。
答案:第一部分:选择题1. A2. B3. B4. A第二部分:填空题1. Synthesis2. Sequential logic3. Optimization4. Placement and routing5. Verification第三部分:设计题设计如下4位2进制加法器电路:```module Binary_Adder(input wire [3:0] A, input wire [3:0] B, output reg [3:0] S);always @(A or B)beginS[0] = A[0] ^ B[0];S[1] = A[1] ^ B[1] ^ (A[0] & B[0]);S[2] = A[2] ^ B[2] ^ (A[1] & B[1]) ^ (A[0] & B[0]);S[3] = A[3] ^ B[3] ^ (A[2] & B[2]) ^ (A[1] & B[1]) ^ (A[0] & B[0]);endendmodule```以上是关于自动化设计考试的试题及答案。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
试卷
第 1 页 共 1 页
试卷答案:
一、 选择题 1 2 3 4 5 6 7 8 9 10 D
C
A
D
A
A
A
A
A
D
二、名词解释,写出下列缩写的英文全称和中文含义 1. EDA
EDA Electronic Design Automation 电子设计自动化
2. CPLD Complex Programmable Logic Device 复杂可编程逻辑器件
3. SOPC System-on-a-Programmable-Chip 可编程片上系统 4 IEEE
Institute of Electrical and Electronics Engineers 电气和电子工程师协会 5 FPGA
Field -Programmable Gate Array 现场可编程门阵列 6 PLD
Programmable Logic Device 可编程逻辑器件 7. JTAG
JTAG Joint Test Action Group 联合测试行动小组 8. IP
Intellectual Property 知识产权 9. ASIC
Application Specific Integrated Circuits 专用集成电路 10. VHDL
Very-High-Speed Integrated Circuit Hardware Description Language 超高速集成电路硬件描述语言
每小题写出英文全称2分,中文含义1分
三、 VHDL 程序填空
1 SEG7DEC "1101101" "1111111"
2 '1' WHEN A='0' AND B='1' ELSE
3 0 ENA='1' outy+1
4 0000000000000000 D sreg(1
5 downto 1)<=sreg(14 downto 0)
每空3分
四、 1.
时序电路的初始状态常常由复位信号来设置。
根据复位信号复位时机的不同,可将复位分为同步复位和异步复位两种(4分)。
所谓同步复位,就是当复位信号有效且在给定的时钟边沿到来时,电路才被复位(3分),此时复位的状态与时钟同步,有助于信号的稳定和系统毛刺的消除;异步复位状态与时钟状态不要求同步,一旦复位信号有效,电路就被复位(3分)。
2.
主要PLD 厂商: 1) Altera :Altera 公司在20世纪90年代以后发展很快,是最大的可编程逻辑器件供应商之一。
(2分)
2) Xilinx: FPGA 的发明者,老牌PLD 公司,是最大的可编程逻辑器件供应商之一。
(2分) 3) Lattice (2分)
CPLD/FPGA 器件的开发设计一般可以分为设计输入(1分)、设计实现(1分)、设计校验(1分)和下载编程(1分)四个步骤。