杭电 计组实验5-6-7(章老师不插板实验报告)
杭电软件实验报告(3篇)
第1篇一、实验背景与目的随着计算机技术的飞速发展,软件工程已成为计算机科学与技术领域的重要组成部分。
为了让学生更好地掌握软件工程的基本理论、方法和实践技能,提高软件设计、开发和测试能力,杭州电子科技大学计算机学院特开设了软件实验课程。
本次实验旨在通过实际操作,让学生了解软件工程的基本流程,掌握软件设计、编码、测试等关键步骤,培养学生的团队协作能力和创新意识。
二、实验环境与工具1. 实验环境:Windows 10操作系统,Java Development Kit(JDK)1.8,Eclipse IDE。
2. 实验工具:Git版本控制工具,JUnit测试框架,Maven构建工具。
三、实验内容与步骤1. 项目需求分析本次实验项目要求设计一个简单的学生信息管理系统,包括学生基本信息、课程信息、成绩信息等。
系统应具备以下功能:(1)学生信息管理:增加、删除、修改、查询学生信息。
(2)课程信息管理:增加、删除、修改、查询课程信息。
(3)成绩信息管理:增加、删除、修改、查询成绩信息。
2. 系统设计(1)系统架构设计:采用MVC(Model-View-Controller)模式,将系统分为模型(Model)、视图(View)和控制器(Controller)三个部分。
(2)模块划分:根据功能需求,将系统划分为学生信息模块、课程信息模块、成绩信息模块和主界面模块。
3. 编码实现(1)学生信息模块:实现学生信息的增删改查功能。
(2)课程信息模块:实现课程信息的增删改查功能。
(3)成绩信息模块:实现成绩信息的增删改查功能。
(4)主界面模块:实现系统启动、功能导航、退出等功能。
4. 测试与调试(1)单元测试:使用JUnit测试框架对各个模块进行单元测试,确保模块功能正确。
(2)集成测试:将各个模块集成在一起,进行集成测试,确保系统整体功能正常。
(3)性能测试:对系统进行性能测试,评估系统响应速度、资源消耗等性能指标。
5. 项目部署与发布(1)使用Maven构建工具生成项目打包文件。
杭电 计组实验5-6-7(章老师不插板实验报告)
RAMபைடு நூலகம்A1(
。clka(Clk),// input clka
.wea(wea),// input [0:0] wea
。addra(addra[7:2]),// input [5 : 0]addra
.dina(R_Data_A), // input[31 : 0]dina
。douta(douta)// output [31 : 0]douta
R_Addr_A=5’b11011;
Write_Reg=0;
Clk=0;
W_Addr=0;
W_Data=0;
#10;
Clk=0;
wea=1;
addra=8’b00110100;
#10;
Clk=1;
#100;
wea=0;
addra=8'b00110100;
#100;
Clk=0;
#10;
Clk=1;
B
#100;
.clka(clk), // input clka
.douta(Inst_Code) // output [31 : 0] douta
);
assign PC_new=PC+4;
always@( negedge clkor posedge rst)
begin
if(rst)
PC=32’h0000_0000;
sub $29,$22,$2; #$29=7FFF_FFFE
add $30,$20,$2; #$30=8000_0001
add $31,$11,$26; #$31=9000_6FF1
实验7:
module Inst(clk,rst,Inst_Code,PC_new,PC);
杭电数电实验报告
杭州电子科技大学
实验报告
课程名称:数电实验
实验名称:组合逻辑电路设计
指导教师:
学生姓名:
学生学号:
所学专业:
学生班级:
组合逻辑电路设计
一、实验目的
1)掌握用基本门电路进行组合电路设计的方法。
2)掌握用中规模集成电路电路设计组合电路的方法。
3)通过实验验证设计的正确性。
二、实验仪器
三、实验原理
1)根据任务要求把一个实际问题转化为逻辑问题,即逻辑抽象。
2)根据实际逻辑问题的要求,列出真值表。
再由真值表写出逻辑表达式,或者
根据要求直接写出逻辑函数表达式。
3)用计算机语言生成元件。
4)画出逻辑图,构成功能电路。
5)检测电路是否正确。
四、实验内容
1)设计8位串行进位加法器。
2)设计一个5人表决电路。
五、仿真例题
一、
1)编程如下
2)电路图如下
半加器
3)波形图如下
如图A、B与C0-1是输入端,S与C7为输出端。
二、
1)编程如下
如图6-21行表示所有X输出1,即同意的情况,22表示2)电路图如下
3)波形图如下
如图,X为1时说明同意,即有三个以上的人统一,当X为0时说明结果是不同意。
六、实验心得
1、仿真练习连接电路时应该注意节点要连接上,否则电路相当于未连接。
2、绘制波形时应该有一定的规律,且每一种情况都有出现,这样分析的
结果才更全面且准确。
电子线路实习报告杭电
电子线路实习报告一、实习目的与要求本次电子线路实习旨在让我们更好地理解和掌握电子线路的基本原理和实验技能,培养我们的动手能力和实践能力。
实习要求我们严格遵守实验室纪律,认真完成实验任务,及时记录实验数据和现象,并进行分析总结。
二、实习内容与过程1. 实习前的准备:在实习开始前,我们学习了电子线路的基本原理和实验设备的使用方法。
通过理论学习的铺垫,我们对电子线路实验有了初步的了解。
2. 实习过程:实习过程中,我们按照指导书的要求,完成了以下几个实验项目:(1)电子线路的认识与测量:我们学习了电子元器件的识别和测量方法,掌握了万用表、示波器等仪器的基本使用技巧。
(2)简单的电子线路搭建:我们根据电路图,用面包板搭建了简单的放大电路、滤波电路等,并学会了使用仪器对电路进行分析。
(3)集成运算放大器的应用:我们学习了集成运算放大器的基本原理和应用,掌握了放大、滤波、积分、微分等电路的设计方法。
(4)数字电路的设计与验证:我们学习了数字逻辑电路的基本原理,用集成逻辑门电路设计了一些简单的数字电路,如编码器、译码器等,并使用仪器进行了验证。
3. 实习成果:通过实习,我们掌握了电子线路的基本实验技能,能够独立完成简单的电子线路设计和分析。
同时,我们也培养了实验报告的撰写能力,能够对实验过程和结果进行完整的记录和总结。
三、实习收获与体会1. 实践能力得到提高:通过本次实习,我们在电子线路实验方面的实践能力得到了很大提高。
我们学会了如何使用实验设备,掌握了电子线路的基本实验技能,为以后的学习和工作打下了坚实基础。
2. 团队合作意识增强:在实习过程中,我们与同学们一起探讨、共同进步,不仅提高了个人能力,也培养了团队合作意识。
3. 培养了解决问题的能力:在实习过程中,我们遇到了许多问题,但通过查阅资料、请教老师和同学,我们逐一解决了这些问题。
这使我们学会了如何独立解决问题,提高了我们的综合素质。
4. 认识到了理论联系实际的重要性:通过实习,我们深刻体会到理论联系实际的重要性。
杭电电子线路实习报告
电子线路实习报告姓名:学号:班级:实习时间:实习教室:指导教师:1.报告内容①实习目的1、掌握有源音箱的工作原理以及各元件的作用..2、掌握焊接有源音箱的方法..4、了解一般电子电路的设计过程和调试方法..②电路中各元件作用及原理1、PCB图如下:.2、各元器件作用及原理LR1;LC1;LR2;RR1;RC1;RR2:选频网络;选出中高音..LR3;LC2;RR3;RC2;R7;C5:调整低频转折率..LC3;LR5;RC3;RR5;C6;C9:消振网络;防止自激..LR6;LR7;RR6;RR7:保护电阻..IC2;IC3;IC4:运算放大器;放大增益..LR3;LR4;RR3;RR4;R7;R8:反馈电阻..R1;R2:衰减电阻..C1:耦合电阻..IC1:低通滤波;选出低频..电位器:控制音量..③计算滤波器的转折频率C2=0.22uF;C3=0.1uF;R4=R5=10KΩC2=1.414/2πfpR;C3=0.707/2πfpRfp=110Hz④组装中的故障分析1、焊接过程中;有个别孔径过小;需要将导线剪细后才可插入焊接..2、调试过程中;低音的声音较小..通过网络查找发现有可能是放大器增益不够、信号源输出较弱等原因存在..3、调试过程中;发现低音有杂音存在;有可能是焊接过程中;焊点不够牢固引起线路出现接触不良的情况2.建议与意见通过本次的电子线路实习;让我对有源音箱的工作原理有了深刻的了解;在对音响的组装及后续的调试过程中;增强了自己的操作能力;学会了正确的焊接方法..对于该课程;我也没有太好的建议;因为指导老师的教学方法还是非常妥当的;课上所讲解的内容都能够较好的掌握;为后面的实践打下良好的基础..即使在组装过程中出现问题;也能够通过老师或者周围的同学及时的解决问题;因此只要有足够的耐心;能认真的听讲;都能够顺利的完成布置的组装任务..。
杭电电子线路实训报告
一、引言随着科技的不断发展,电子技术已经成为现代工业、日常生活和国防建设的重要组成部分。
为了让学生更好地理解和掌握电子线路的基本原理和设计方法,提高学生的实践能力和创新意识,我校电子工程系组织了电子线路实训课程。
本次实训旨在通过实际操作,使学生深入理解电子线路的基本概念、电路分析方法,并能够设计简单的电子电路。
二、实训目的1. 熟悉电子线路的基本元件和仪器设备。
2. 掌握电子线路的基本分析方法,如电路分析、模拟电路设计等。
3. 提高动手能力和实验技能,培养严谨的科学态度。
4. 培养学生的创新意识和团队合作精神。
三、实训内容本次实训主要包括以下内容:1. 基本元件识别与测试:识别和测试电阻、电容、电感、二极管、三极管等基本电子元件,了解其特性和参数。
2. 基本电路搭建与调试:搭建简单的电路,如放大器、滤波器、振荡器等,并对其进行调试,观察电路性能。
3. 电路分析方法学习:学习电路分析方法,如基尔霍夫定律、节点电压法、回路电流法等,并应用于实际电路分析。
4. 模拟电路设计:设计简单的模拟电路,如运算放大器、稳压电路、信号发生器等,并验证其性能。
5. 数字电路设计:学习数字电路的基本原理,设计简单的数字电路,如逻辑门、计数器、译码器等。
6. 电路仿真软件应用:使用电路仿真软件(如Multisim)进行电路设计和仿真,验证电路性能。
四、实训过程1. 准备阶段:了解实训内容,预习相关理论知识,准备实训所需的元件和仪器。
2. 实践阶段:- 元件识别与测试:通过实物观察和仪器测试,识别各种电子元件,了解其参数和特性。
- 基本电路搭建与调试:按照电路图搭建电路,连接元件,调试电路,观察电路性能。
- 电路分析方法学习:学习电路分析方法,如基尔霍夫定律、节点电压法、回路电流法等,并应用于实际电路分析。
- 模拟电路设计:设计简单的模拟电路,如运算放大器、稳压电路、信号发生器等,并验证其性能。
- 数字电路设计:学习数字电路的基本原理,设计简单的数字电路,如逻辑门、计数器、译码器等。
杭电计算机组成原理实验报告.doc
杭电计算机组成原理实验报告篇一:杭电计组实验报告9计组实验九老师:包健一、源代码测试模块代码:moduleTest_Top;// Inputsreginclk;regmem_clk;regrst;reg [3:0] SW;// Outputswire [7:0] LED;// Instantiate the Unit Under TestTop uut ,.mem_clk,.rst,.LED,.SW);reg [2:0] i;initial begin// Initialize Inputsinclk = 0;mem_clk = 0;rst = 0;SW = 0;i=0;// Wait 100 ns for global reset to finish #100;rst = 1;#100;rst =0 ;#100;foreverbegin#100;mem_clk=~mem_clk;i=i+1;ifinclk=~inclk;endendendmodule顶层模块代码:moduleMy_I_CPU;wireclk_n = ~clk;wire[31:0] codes;Inst_Fetch1 inst_fetch,.clk,.Inst_codes);wire[5:0] OP;wire[5:0] func;wire[2:0] ALU_OP;wirerd_rt_s;wireimm_s;wirert_imm_s;wirealu_mem_s;wireWrite_Reg;wireMem_Write;wire [15:0] imm;wire [31:0] imm_data ;assign imm_data = ?{{16{imm[15]}},imm}:{{16{1b0}},imm}; assign OP =codes[31:26];assignfunc = codes[5:0];assignimm = codes[15:0]; OP_Decoderop_decoder,//input.func,//input.ALU_OP,.rd_rt_s,.imm_s,.rt_imm_s,.alu_mem_s,.Write_Reg,.Mem_Write);wire[4:0] rs;wire[4:0] rt;wire[4:0] rd;assigs = codes[25:21];assigt = codes[20:16];assigd = codes[15:11];wire[4:0] W_Addr;assignW_Addr=?rt:rd;wire [31:0]W_Data;wire [31:0]R_Data_A;wire [31:0]R_Data_B; RegisterHeapregister,.R_Addr_B,.W_Addr,.Write_Reg,.Reset,.Clk,.W_Data,.R_Data_A,.R_Data_B);wire [31:0]ALU_A;wire [31:0]ALU_B;assign ALU_A = R_Data_A;assign ALU_B = ?imm_data:R_Data_B;ALU alu,.A,.B,.F,.ZF,.OF);Data_Memdata_mem , // input clka.wea, // input [0 : 0] wea .addra, // input [5 : 0] addra .dina, // input [31 : 0] dina .douta // output [31 : 0] douta);assignW_Data = ?M_R_Data:ALU_F; endmodule二、仿真波形三、电路图四、引脚配置篇二:杭电计算机组成原理取指令与指令译码实验7杭州电子科技大学计算机学院实验报告课程名称:计算机组成原理姓名实验项目:取指令与指令译码实验班级指导教师:学号:实验位置:日期:篇三:杭电计算机组成原理多功能ALU设计实验3杭州电子科技大学计算机学院实验报告课程名称:计算机组成原理姓名:实验项目:多功能ALU设计实验班级:指导教师:学号:实验位置:日期:2015年4月29日。
杭电自动化专业计算机控制系统实验报告
实验一、常规PID控制算法仿真仿真框图如下实验参数:shiyanpid Ts=0.1s,b为班号1~5,x为学号后2位,1~45实验要求:(1)画Simulinnk框图(2)设计或凑试PID三个参数,进行仿真(3)使稳态误差为0,且动态性能较满意仿真框图:实验分析:b=1,x=15。
比例系数Kp增大时系统动作灵敏,响应速度加快,过大会使振荡次数增加,系统趋向不稳定,这里取120。
积分环节可以消除稳态误差,Ti减小,系统振荡次数增加,这里取Ki为150。
微分环节可以改善系统动态性能,减小超调和调节时间,这里取Kd为10。
系统在2秒内达到稳态。
实验二、积分分离PID控制算法仿真实验参数:shiyanpidjffl Ts=0.1s,b为班号1~5,x为学号后2位,1~45实验要求:(1)画Simulinnk框图(2)使稳态误差为0,且动态性能较满意(3)尝试不同的积分分离的阈值(比如ε=0,0.1,0.2,……,0.9,1),观察阶跃响应,并确定最佳的阈值实验框图:翻译后Switch模块的说明:如果2输入满足规则,则1通道通过,否则3输入通过。
输入被标号。
1输入通过规则是输入2(偏差e)大于或等于阀值。
第一三输入为数据输入,第二输入为控制输入。
原理:|e(k)|<=ε,ki起作用|e(k)|>ε,ki不起作用,由于阶跃输入,(treshhold )ε=0.1,0.2,……,0.9,1。
由于参数原因去kp=50,ki=kd=0时,曲线最好为了体现ε的作用,积分值不取0,改为Ki=10取不同ε后的曲线ε=0.1ε=0.5ε=1分析:ε=0.1时曲线最好,ε过大起不到积分分离的作用,比如ε=1,总会存在积分作用,ε过小可能是控制不能跳出积分分离的区域,从而只存在PD作用,长时间存在静差。
实验三、不完全微分PID控制算法仿真1、不完全微分PID控制器的阶跃响应实验参数:Shiyanpidbwqwfstep Ts=0.1s,仿真时间设为10s,5s,3s P=1 I=1 D=1滤波器参数a=0.1,0.2,……,0.8,1.2,实验框图:框图1:积分输出:微分输出:可见微分只在第一个单位时间有相应,而且较大框图2:a=0.1时a=0.5时:a=1时:分析:引入惯性环节后,对微分环节对阶跃响应有明显的改善作用。
(最新版)杭电 计算机网络实验报告
目录实验一、使用数据拷贝线组网 (1)实验二、制作直通双绞线组网 (4)实验三、制作交叉双绞线组网 (7)实验四、Cisco IOS基本使用 (10)实验五、交换机基本使用 (14)实验六、VLAN的使用 (18)实验七、路由器的基本使用 (25)实验八、动态路由配置 (30)实验一、使用数据拷贝线组网实验一使用数据拷贝线组网实验台号:实验时间:实验小组号:成员及本次实验分工:实验目的:学会使用并行电缆(数据拷贝线)实现双机通信和资源共享。
使用直接电缆实现两台PC机之间的通信。
实验环境说明:硬件:2台计算机、2-3根并行电缆软件:Windows XP操作系统实验过程及步骤(可另附页、使用网络拓扑图等辅助说明):(1)、使用并行电缆将两台PC机,进行物理互连;(2)、分别在两台PC机上的网上邻居中创建一个新连接。
按照新建连接向导的指示来做。
首先网络连接类型选为“设置高级连接”;然后其中作为服务器的那台PC机创建为“接受传入的连接”,作为客户机的则创建为“直接连接到其他计算机的连接”,并让此计算机担任“来宾”的角色。
接下去根据向导直至完成创建新连接。
(3)、测试两机的可通用性。
创建好连接之后可以用ping命令测试一下两台机器之间是否已经连通。
(4)、连接创建成功之后,客户机可以找到并登入到服务器,就可以实现对服务器上的资源的共享了。
当然在此之前作为服务器的主机还需要将文件夹属性设置成为可共享的。
测试数据线连接以后Ping对方说明能够Ping通在一台PC上设置共享,然后在另外一台PC上查看共享截图如下:说明通过数据拷贝线成功地连接了两台PC,实现了他们之间的通信。
实验总结(遇到的问题及解决办法、体会):刚开始配置客户机的时候出了点问题。
需要输入对方计算机的名字,我们却输入了服务器上的计算机用户的帐号,所以一直没有连接成功。
后来终于发现了这个问题。
配置也就顺利了。
实验器材、工具领用及归还负责人:验收人:实验执笔人:实验记录人:报告协助人:实验报告完成时间:小组成员签名:指导教师评议成绩评定:指导教师签名:实验二、制作直通双绞线组网实验二制作直通双绞线组网实验台号:实验时间:实验小组号:成员及本次实验分工:实验目的:1.了解双绞线的相关知识;2.学会制作直通双绞线;3.掌握用双绞线实现集线器和计算机之间的互连。
杭电电子线路实习报告
一、实习背景随着科技的不断发展,电子线路在各个领域的应用越来越广泛。
为了使学生们更好地了解电子线路的实际应用,提高实践操作能力,杭州电子科技大学特此组织了电子线路实习活动。
本次实习旨在让学生们深入了解电子线路的基本原理,掌握电子线路的设计与调试方法,培养学生的动手能力和创新意识。
二、实习目的1. 熟悉电子线路的基本原理和常用电子元器件;2. 掌握电子线路的设计与调试方法;3. 培养学生的动手能力和创新意识;4. 提高学生的团队合作能力。
三、实习内容1. 电子线路基础知识学习实习期间,学生们首先学习了电子线路的基本原理,包括电路元件、电路分析方法、电路设计方法等。
通过学习,学生们对电子线路有了初步的认识。
2. 电子线路设计与制作在掌握电子线路基础知识的基础上,学生们开始进行电子线路的设计与制作。
实习过程中,学生们根据所学知识,独立完成以下任务:(1)设计一个简单的电子线路,如稳压电源、滤波电路等;(2)根据设计图纸,选用合适的电子元器件进行焊接;(3)调试电路,确保电路性能达到预期效果。
3. 电子线路测试与优化在完成电子线路设计与制作后,学生们对电路进行测试,并对电路性能进行优化。
具体内容包括:(1)测试电路的稳定性、抗干扰能力等;(2)根据测试结果,对电路进行改进,提高电路性能;(3)撰写实习报告,总结实习经验。
四、实习过程1. 第一阶段:电子线路基础知识学习在这一阶段,学生们通过课堂讲授、自学等方式,掌握了电子线路的基本原理和常用电子元器件。
2. 第二阶段:电子线路设计与制作在这一阶段,学生们根据所学知识,独立完成电子线路的设计与制作。
在制作过程中,学生们遇到了各种问题,通过查阅资料、请教老师等方式,最终成功解决了问题。
3. 第三阶段:电子线路测试与优化在这一阶段,学生们对电路进行测试,并对电路性能进行优化。
通过不断尝试和改进,电路性能得到了明显提升。
五、实习收获1. 掌握了电子线路的基本原理和常用电子元器件;2. 学会了电子线路的设计与调试方法;3. 培养了学生的动手能力和创新意识;4. 提高了学生的团队合作能力。
杭电电子线路实习报告
杭电电子线路实习报告实习单位:杭州电子科技大学实习时间:2024年7月1日-2024年7月31日实习地点:杭州市余杭区杭州电子科技大学一、实习目的和任务本次实习旨在通过参与杭州电子科技大学的电子线路项目,提升实习生对电子线路实践的认识和操作能力。
具体的实习任务包括以下几个方面:1.学习电子线路的基本原理和知识,了解电子线路的搭建和调试过程;2.参与实际的电子线路项目,进行电子线路的设计和实验;3.学习并掌握电子线路相关软件的使用和基本操作;4.参与电子线路实验的分析和总结,撰写实习报告。
二、实习内容和方法1.学习电子线路的基本原理和知识:通过学习相关的教材和资料,了解电子线路的基本原理和知识,包括电子元器件的基本特性、电路的基本组成和工作原理等。
2.参与实际的电子线路项目:在导师的指导下,参与实际的电子线路项目,包括电路的设计、搭建和调试。
通过实际操作,掌握电子线路的搭建和调试过程,提高对电子线路的实践能力。
3.学习并掌握电子线路相关软件的使用和基本操作:学习使用电子线路设计软件,如Protues、Altium Designer等,掌握其基本操作和使用方法。
通过实际的操作和练习,提高对电子线路设计软件的熟练程度。
4.参与电子线路实验的分析和总结,撰写实习报告:在完成实际的电子线路实验后,进行实验结果的分析和总结,撰写相应的实习报告。
通过实习报告的撰写,提升对电子线路实验的整体理解和应用能力。
三、实习感想和收获在本次实习中,我通过参与电子线路项目的设计和实验,深入了解了电子线路的基本原理和知识,提高了对电子线路的实践能力和技术水平。
通过与导师和同学们的合作,我学到了很多实践经验和技巧,对电子线路的搭建和调试过程有了更加深入的认识。
在实践操作中,我学习并掌握了电子线路设计软件的使用方法,能够熟练地进行电路设计和仿真。
通过实际的电子线路实验,我学会了如何进行实验结果的分析和总结,掌握了一些实验技巧和方法。
杭电电子线路实习实验报告
杭电电子线路实习实验报告一、实验目的1. 加深对电子线路理论知识的理解,提高实际操作能力。
2. 掌握基本电子仪器的使用方法,如示波器、信号发生器、万用表等。
3. 学习电子线路的安装与调试方法,培养动手能力和团队协作精神。
二、实验内容本次实验为电子线路安装与调试实验,主要包括以下内容:1. 根据电路图搭建电路;2. 正确使用仪器进行测试;3. 分析测试数据,判断电路是否存在问题;4. 调整电路参数,使电路达到预期性能;5. 撰写实验报告。
三、实验过程1. 根据实验指导书给出的电路图,搭建电路。
在搭建过程中,要注意元器件的极性、引脚顺序等,确保电路的正确性。
2. 使用万用表测量电路中的电压、电流等参数,初步判断电路是否正常。
3. 使用示波器观察电路中的信号波形,分析电路的性能。
如有问题,需调整电路参数,如电阻值、电容值等。
4. 针对电路中可能存在的问题,进行多次调试,直至电路性能达到预期。
5. 撰写实验报告,总结实验过程中遇到的问题及解决方法,反思实验过程中的不足。
四、实验结果与分析1. 实验结果:通过多次调试,最终使电路达到了预期性能,信号波形稳定,电路工作正常。
2. 结果分析:在实验过程中,我们掌握了电子仪器的使用方法,提高了动手能力。
同时,通过分析测试数据,我们学会了判断电路是否存在问题,并能够针对问题进行调整。
此外,实验过程中的团队协作也使我们更好地完成了任务。
五、实验收获1. 掌握了电子线路安装与调试的基本方法;2. 学会了使用电子仪器,如示波器、信号发生器、万用表等;3. 提高了动手能力和团队协作精神;4. 加深了对电子线路理论知识的理解。
六、实验反思1. 在实验过程中,我们要严谨认真,确保电路搭建的正确性;2. 学会分析测试数据,判断电路是否存在问题;3. 调整电路参数时,要耐心细致,切勿急躁;4. 加强团队协作,共同完成实验任务。
总之,本次电子线路实习实验使我们受益匪浅,不仅提高了实际操作能力,还加深了对电子线路理论知识的理解。
杭电实验报告模版
一、实验模块实验名称:____________________实验课程:____________________实验时间:____________________实验地点:____________________实验人员:____________________二、实验标题____________________三、实验目的1. 了解____________________2. 掌握____________________3. 培养____________________四、实验原理____________________五、实验仪器与设备1. 仪器名称:____________________2. 仪器型号:____________________3. 仪器规格:____________________4. 其他设备:____________________六、实验步骤1. 实验步骤一:(1)____________________(2)____________________(3)____________________2. 实验步骤二:(1)____________________(2)____________________(3)____________________3. 实验步骤三:(1)____________________(2)____________________(3)____________________(注:根据实际实验内容,添加相应步骤)七、实验过程1. 实验过程一:(1)____________________(2)____________________(3)____________________2. 实验过程二:(1)____________________(2)____________________(3)____________________3. 实验过程三:(1)____________________(2)____________________(3)____________________(注:根据实际实验内容,添加相应过程)八、实验数据记录与分析1. 实验数据记录:(注:根据实际实验内容,添加数据记录表格)2. 实验数据分析:(注:根据实际实验内容,对实验数据进行分析)九、实验结论1. 实验结果:(1)____________________(2)____________________(3)____________________2. 实验结论:(1)____________________(2)____________________(3)____________________十、实验讨论1. 实验中遇到的问题及解决方法:(1)____________________(2)____________________(3)____________________2. 实验改进建议:(1)____________________(2)____________________(3)____________________十一、实验总结通过本次实验,我了解了____________________,掌握了____________________,培养了____________________。
杭电电子电路实习报告
一、实习背景随着科技的飞速发展,电子电路技术已成为现代社会不可或缺的一部分。
为了提高学生的实践能力,培养具备实际操作经验的电子电路技术人才,我校组织了电子电路实习活动。
本次实习在杭州电子科技大学电子电路实验室进行,旨在使学生了解电子电路的基本原理,掌握电子电路的设计与制作方法,提高学生的动手操作能力。
二、实习目的1. 熟悉电子电路的基本原理和设计方法;2. 掌握常用电子元器件的性能和选用技巧;3. 提高电子电路的调试和维修能力;4. 培养团队合作精神和创新意识。
三、实习内容1. 电子电路基础知识学习在实习初期,我们学习了电子电路的基本概念、电路元件、电路分析方法等基础知识。
通过学习,我们对电子电路有了初步的认识,为后续的实习工作奠定了基础。
2. 电子电路设计与制作在实习过程中,我们分组进行电子电路设计与制作。
具体内容包括:(1)电路设计:根据任务要求,我们查阅相关资料,确定电路拓扑结构,绘制电路原理图。
(2)元器件选用:根据电路原理图,选择合适的元器件,并计算其参数。
(3)电路制作:按照电路原理图,焊接元器件,组装成完整的电路。
(4)电路调试:对制作好的电路进行调试,确保电路性能达到预期要求。
3. 电路分析与应用在实习过程中,我们还学习了电路分析的基本方法,如基尔霍夫定律、欧姆定律等。
通过分析电路,我们了解了电路的性能和特点,为后续的电路设计与制作提供了理论依据。
4. 电路故障诊断与维修在实习后期,我们学习了电路故障诊断与维修的基本方法。
通过分析电路故障现象,找出故障原因,并采取相应的维修措施,使电路恢复正常工作。
四、实习收获1. 理论与实践相结合:通过本次实习,我们将所学理论知识与实际操作相结合,提高了自己的动手能力。
2. 团队合作:在实习过程中,我们分组进行电子电路设计与制作,培养了团队合作精神。
3. 创新意识:在电路设计与制作过程中,我们不断尝试新的方法,提高了创新意识。
4. 电路故障诊断与维修能力:通过实习,我们掌握了电路故障诊断与维修的基本方法,为今后的学习和工作打下了基础。
杭电单片机实验报告六
杭电单片机实验报告六
实验名称:杭电单片机实验报告六
实验目的:
1. 了解单片机的串行通信;
2. 掌握单片机与电脑之间的串口通信;
3. 实现单片机与电脑的数据传输。
仪器设备:
1. STC89C52RC单片机开发板;
2. USB转串口模块;
3. 电脑。
实验步骤:
1. 将STC89C52RC单片机开发板连接至电脑的USB接口;
2. 打开串口调试助手软件,并选择正确的串口通信设置,如波特率为9600;
3. 在单片机程序中初始化串口,并设置波特率为9600;
4. 在单片机程序中实现数据的收发功能,通过串口将接收到的数据发送至电脑,并将
电脑发送过来的数据在单片机上显示;
5. 运行单片机程序,观察串口调试助手软件中的接收和发送数据情况。
实验结果:
在串口调试助手软件中,可以看到单片机发送的数据和接收到的数据,数据传输正常。
实验总结:
通过本次实验,我了解了单片机的串行通信原理和如何与电脑进行串口通信。
掌握了初始化串口和设置波特率的方法,并成功实现了数据的收发功能。
在今后的单片机应用中,串口通信将会是一个常用的功能,我会进一步深入学习和应用串口通信。
杭电计算机组成原理存储器设计实验5
NET "Mem_Addr[4]" LOC = N8;
NET "Mem_Addr[5]" LOC = U8;
NET "Mem_Addr[6]" LOC = V8;
NET "Mem_Addr[7]" LOC = T5;
NET "Mem_Write" LOC = B8;
数据记录和计算
实验仿真结果
结论(结果)
本实验的结果正确,根据自己写的coe文件中存储的数据进行操作,和实验四有很多的相似处,只是进行简单的读写的操作,实验的结果正确.能够根据操作,lED灯显示具体的数据.
试验心得与小结
本实验和实验四比较来说的话,更为简单,利用IP核中储存数据,我们就可以通过其中存储的数据进行操作,代码也是比较的简单,我们直接调用IP核生成的模块的代码,以至于操作也比较的方便.刚开始不熟悉使用IP核,了解了之后就比较简单了,比第四个实验自己写寄存器更简单.
2'b11:LED=M_R_Data[31:24];
endcase
end
else
begin
case(C)
2'b00:M_W_Data=32'h0002_0003;
2'b01:M_W_Data=32'h0002_0603;
2'b10:M_W_Data=32'h1234_5678;
2'b11:M_W_Data=32'hffff_ffff;
.dina(M_W_Data),
.douta(M_R_Data)
);
杭电电子线路实习报告
电子线路实习报告姓名:学号:班级:实习时间:实习教室:指导教师:1.报告内容①实习目的1、掌握有源音箱的工作原理以及各元件的作用。
2、掌握焊接有源音箱的方法。
4、了解一般电子电路的设计过程和调试方法。
②电路中各元件作用及原理1、PCB图如下:.2、各元器件作用及原理LR1,LC1,LR2,RR1,RC1,RR2:选频网络,选出中高音。
LR3,LC2,RR3,RC2,R7,C5:调整低频转折率。
LC3,LR5,RC3,RR5,C6,C9:消振网络,防止自激。
LR6,LR7,RR6,RR7:保护电阻。
IC2,IC3,IC4:运算放大器,放大增益。
LR3,LR4,RR3,RR4,R7,R8:反馈电阻。
R1,R2:衰减电阻。
C1:耦合电阻。
IC1:低通滤波,选出低频。
电位器:控制音量。
③计算滤波器的转折频率C2=0.22uF,C3=0.1uF,R4=R5=10KΩC2=1.414/((2πfp)R),C3=0.707/((2πfp)R)fp=110Hz④组装中的故障分析1、焊接过程中,有个别孔径过小,需要将导线剪细后才可插入焊接。
2、调试过程中,低音的声音较小。
通过网络查找发现有可能是放大器增益不够、信号源输出较弱等原因存在。
3、调试过程中,发现低音有杂音存在,有可能是焊接过程中,焊点不够牢固引起线路出现接触不良的情况2.建议与意见通过本次的电子线路实习,让我对有源音箱的工作原理有了深刻的了解,在对音响的组装及后续的调试过程中,增强了自己的操作能力,学会了正确的焊接方法。
对于该课程,我也没有太好的建议,因为指导老师的教学方法还是非常妥当的,课上所讲解的内容都能够较好的掌握,为后面的实践打下良好的基础。
即使在组装过程中出现问题,也能够通过老师或者周围的同学及时的解决问题,因此只要有足够的耐心,能认真的听讲,都能够顺利的完成布置的组装任务。
杭电计组实验5存储器设计实验
杭州电子科技大学计算机学院
实验报告
实验项目:
课程名称:计算机组成原理与系统结构设计
姓名:学号:同组姓名:学号:实验位置(机号):
实验日期:指导教师:
addr = 6'b000001;
dina = 32'b 111111111111101101111;
#100;
clk = 1;
wea = 0;
addr = 6'b000001;
dina = 32'b 111111111111101101111;
#100;
clk = 0;
wea = 1;
addr = 6'b000001;
dina = 32'b 111111111111101101111;
#100;
clk = 1;
wea = 1;
addr = 6'b000001;
dina = 32'b 111111111111101101111;
end
endmodule
RTL图
二、结果
思考题:
(3)设计实现一个ROM,常规存储器是单端口存储器,每次只接收一个地址,访问一个存储单元,从中读取或写入一个字节或字。
主存储器是信息交换的中心,一方面CPU频繁地与主存交换信息,另一方面外设也较频繁地与主存交换信息,而单端口存储器每次只能接受一个访存者,或是读或是写,这就影响到存储器的整体工作速度。
双端口存储器具有两个彼此独立的读写口,每个读写口都有一套自己的地址寄存器和译码电路,可以并行地独立工作。
两个读写口可以按各自接收的地址同时读出或写入,或一。
杭电数电课内实验
数字逻辑电路课内仿真实验第六章 QuartusII原理图设计初步一、实验目的:初步了解学习使用Quartus||软件进行电路自动化设计。
二、实验仪器:Quartus||软件。
三、实验内容:6-1 用Quartus||库中的宏功能模块74138和与非门实现指定逻辑函数按照6.3节和6.4节的流程,使用Quartus||完整图6-2电路的设计,包括:创建工程,在原理图编辑窗中绘制此电路,全程编译,对设计进行时序仿真,根据仿真波形说明此电路的功能,引脚锁定编译,编程下载于FPGA中进行硬件测试。
最后完成实验报告。
1、原理图2、波形设置3、仿真波形6-2 用两片7485设计一个8位比较器用两片4位二进制数值比较器7485串联扩展为8位比较器,使用Quartus||完成全部设计和测试,包括创建工程、编辑电路图、全程编译、时序仿真及说明此电路的功能、引脚锁定、编程下载,进行硬件测试。
最后完成实验报告。
1、原理图2、波形设置3、波形仿真6-3 设计8位串行进位加法器首先根据图4-33,用半加器设计一个全加器元件,然后根据图4-34,在顶层设计中用8个1位全加器构成8位串行进位加法器。
给出时序仿真波形并说明之,引脚锁定编译,编程下载于FPGA中进行硬件测试,最后完成实验报告,讨论这个加法器的工作速度。
1、原理图:半加器1位全加器8位串行进位全加器集成后的8位串行进位全加器2、波形设置3、波形仿真6-5 设计一个十六进制7段显示译码器用Verilog的case语句设计一个可以控制显示共阴7段数码管的十六进制码7段显示译码器。
首先给出此译码器的真值表,此译码器有4个输入端:D、C、B、A。
D是最高位,A 是最低位;输出有8位:p、g、f、e、d、c、b、a,其中p和a分别是最高和最低位,p控制小数点。
对于共阴控制,如果要显示A,输入DCBA=1010;若小数点不亮,则输出pgfedcba=01110111=77H,给出时序仿真波形并说明之,引脚锁定,下载于FPGA中对共阴数码管进行硬件测试。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
.wea(wea), // input [0 : 0] wea
.addra(addra[7:2]), // input [5 : 0] addra
.dina(dina), // input [31 : 0] dina
.douta(douta) // output [31 : 0] douta
output wire [31:0] Inst_Code;
output wire[31:0] PC_new;
output reg[31:0] PC;
initial
begin
PC=32'h0000_0000;
end
ROM Inst_ROM(
.addra(PC[7:2]), // input [5 : 0] addra
.douta(douta) // output [31 : 0] douta
);
four B1(
.Clk(Clk),
.Reset(Reset),
.Write_Reg(Write_Reg),
.W_Addr(W_Addr),
.W_Data(W_Data),
.R_Addr_A(R_Addr_A),
.R_Addr_B(R_Addr_B),
sub $29,$22,$2; #$29=7FFF_FFFE
add $30,$20,$2; #$30=8000_0001
add $31,$11,$26; #$31=9000_6FF1
实验7:
module Inst(clk,rst,Inst_Code,PC_new,PC);
input wire clk,rst;
sub $12,$7,$8; #$12=FFFF_900E
add $13,$9,$12; #$13=FFFF_200D
or $14,$9,$12; #$14=FFFF_9FFF
or $15,$6,$7; #$15=0000_000F
nor $16,$5,$7; #$16=FFFF_FFF0
add $17,$7,$3; #$17=0000_0010
sllv $18,$8,$17;#$18=7000_0000
sllv $19,$3,$17;#$19=0002_0000
sllv $20,$19,$7;#$20=8000_0000
sub $21,$1,$20; #$21=7FFF_FFFF
or $22,$18,$21;#$22=7FFF_FFFF
add $23,$20,$22; #$23=FFFF_FFFF
.R_Data_A(R_Data_A),
.R_Data_B(R_Data_B)
);
endmodule
module RAM(
input clka,
input [0:0] wea,
input [7:2] addra,
input [31:0] dina,
output [31:0] douta
);
RAM_B your_instance_name (
sub $24,$18,$19; #$24=6FFE_0000
sub $25,$19,$18; #$25=9002_0000
xor $26,$1,$18;#$26=8FFF_FFFF
sltu $27,$22,$20;#$27=0000_0001
sltu $28,$26,$20;#$28=0000_0000
sllv $7,$6,$2;#$7=0000_000E
add $9,$5,$6; #$9=0000_000C
sllv $8,$6,$9;#$8=0000_7000
xor $9,$1,$8; #$9=FFFF_8FFF
add $10,$9,$1; #$10=FFFF_8FFE
sub $11,$8,$7; #$11=0000_6FF2
#100;
clka=0;
wea=1;
addra=6'b000001;
dina=32'b11111111110001111111111101101111;
#100;
clka=1;
wea=1;
addra=6'b000001;
dina=32'b11111111110001111111111101101111
Write_Reg=0;
#100;
R_Addr_A=5'b11011;
Write_Reg=0;
Clk=0;
W_Addr=0;
W_Data=0;
#10;
Clk=0;
wea=1;
addra=8'b00110100;
#10;
Clk=1;
#100;
wea=0;
addra=8'b00110100;
#100;
Clk=0;
六、意见和建议
else
PC={24'h000000,PC_new[7:0]};
end
endmodule
Inst_ROM文件:
memory_initialization_radix=16;
memory_initialization_vector=00000827,0001102b,00421820,00622020,00832820,00a33020,00463804,00a64820,01264004,00284826,01215020,01075822,00e86022,012c6820,012c7025,00c77825,00a78027,00e38820,02289004,02239804,00f3a004,0034a822,0255b025,0296b820,0253c022,0272c822,0032d026,02d4d82b,0354e02b,02c2e822,0282f020,017af820;
实验6
nor $1,$0,$0; #$1=FFFF_FFFF
sltu $2,$0,$1;#$2=0,000_0001
add $3,$2,$2; #$3=0000_0002
add $4,$3,$2; #$4=0000_0003
add $5,$4,$3; #$5=0000_0005
add $6,$5,$3; #$6=0000_0007
output [31:0] R_Data_A,R_Data_B
);
RAM A1(
.clka(Clk), // input clka
.wea(wea), // input [0 : 0] wea
.addra(addra[7:2]), // input [5 : 0] addra
.dina(R_Data_A), // input [31 : 0] dina
二、仿真波形
实验五
实验六
实验七:
三、电路图
实验5
实验7
四、引脚配置(约束文件)
五、思考与探索
实验5:
(3)设计实现一个ROM,常规存储器是单端口存储器,每次只接收一个地址,访问一个存储单元,从中读取或写入一个字节或字。主存储器是信息交换的中心,一方面CPU频繁地与主存交换信息,另一方面外设也较频繁地与主存交换信息,而单端口存储器每次只能接受一个访存者,或是读或是写,这就影响到存储器的整体工作速度。
.clka(clk), // input clka
.douta(Inst_Code) // output [31 : 0] douta
);
assign PC_new=PC+4;
always@( negedge clk or posedge rst)
begin
if(rst)
PC=32'h0000_0000;
);
endmodule
#100;
Reset=0;
Clk=0;
#100;
W_Addr=5'b11011;
W_Data=32'b11111111110001111111111101101111;
Clk=0;
#50;
Clk=1;
#100;
R_Addr_A=5'b11011;
R_Addr_B=0;
Clk=0;
input [0:0] wea,
input [7:2] addra,
output [31:0] douta,
input Clk,Reset,
input Write_Reg,
input [4:0] W_A来自dr,input [31:0] W_Data,
input [4:0] R_Addr_A,R_Addr_B,
实验报告
2016年5月10日成绩:
姓名
学号
班级
专业
计算机科学与技术
课程名称
《计算机组成原理课程设计》
任课老师
章复嘉
指导老师
章复嘉
机位号
实验序号
5,6,7
实验名称
寄存器堆设计实验,MIPS汇编器与模拟器实验取,指令与译指令
实验时间
第6周~第14周
实验地点
一教225
实验设备号
一、实验程序源代码
实验五
module main(
#10;
Clk=1;
B
#100;
clka=0;
wea=0;
addra=6'b000001;
dina=32'b11111111110001111111111101101111;
#100;
clka=1;
wea=0;
addra=6'b000001;
dina=32'b11111111110001111111111101101111;