calibre寄生参数提取

相关主题
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

calibre寄生参数提取

寄生参数提取是指从设计中提取出寄生参数,以进行后续的分析和仿真。在Calibre 中,有多种寄生参数提取器可供选择,以下是其中几种:

- RCX:标准RC提取器,可以提取晶体管和互连线的电容和电阻等寄生参数。

- xRC:扩展RC提取器,提供了更高级的功能,如几何抽象、子网合并、等效电路分析等。

- StarRC:寄生参数提取器,可以提取复杂的芯片级互连线寄生参数,包括互联线交叉、几何扭曲、设备变形等。

- QRC:快速RC提取器,可以在短时间内提取大规模的互连线寄生参数,支持多线程计算和分布式计算等高级功能。

- PEX:功率网提取器,可以提取功率网的电容和电阻等寄生参数。

在使用Calibre提取寄生参数时,你需要根据设计需求和工艺厂提供的文件,选择合适的提取器并进行相应的设置。如果你需要了解更详细的信息,可以查阅Calibre的文档或联系技术支持。

相关文档
最新文档