电子设计自动化技术答案
《电子设计自动化》第四次作业
《电子设计自动化》第四次作业班级:学号:姓名:一、选择题1.在Altium Designer中创建并添加现有文件到工程项目的操作路径是:A. 文件→新建→原理图B. 工程→新建→文件C. 文件→打开→添加现有文件到工程D. 文件→新建→PCB2.在PCB设计中,IPC标准对于封装设计的主要作用是:A. 确定设计文件的版权B. 规范焊盘图形和组装要求C. 优化电子元件的成本D. 增强电路信号的传输速率3.PCB中用于电气连接的导线在Altium Designer中可以通过哪种布线模式快速完成转角布线?A. 45°布线B. 自动布线C. 直角布线D. 任意角度布线4.在PCB布局中,如果存在高电压和低电压元器件,为了避免放电或击穿事故,应采取的做法是:A. 增加其之间的距离B. 使用更厚的导线C. 调整电路板的尺寸D. 让高压元件尽可能靠近输出端5.以下关于多层PCB设计的描述正确的是:A. 多层PCB中不需要设置地层B. 电源层和信号层应该尽量避免交叉C. 地层只在双层PCB中使用D. 电源层与地层之间的距离无关紧要6.在Altium Designer中,编辑环境中的“Navigator”面板的主要作用是:A. 显示当前所有文件B. 查看元件属性C. 检查和分析原理图信息D. 进行图纸的颜色设置7.在PCB布线过程中,为了降低高频信号干扰,布线时常用的方法包括:A. 串接电阻B. 加大信号线间距C. 减少电容使用D. 将信号线交错布置8.在PCB设计中,阻焊层的主要作用是:A. 提供电气隔离B. 避免焊接时不必要的锡连接C. 提高电路的导电性D. 标识元件位置二、填空题1、在AD原理图设计中,常用的布线模式有______、______和自动布线。
2、PCB设计中的“机械层”用于定义电路板的______和______信息,不涉及实际电气连接。
3、PCB设计中,______层用于电源和地的连接,能够显著减少外部导线的连线长度。
电子设计自动化智慧树知到课后章节答案2023年下哈尔滨职业技术学院
电子设计自动化智慧树知到课后章节答案2023年下哈尔滨职业技术学院哈尔滨职业技术学院项目一测试1.电子设计自动化的英文缩写是()。
答案:EDA2.集成了特定功能的集成电路就叫做()。
答案:ASIC3.狭义的EDA开发所必须具备的条件有()。
答案:实验开发系统;EDA开发软件及环境;计算机;掌握一种硬件描述语言4.EDA知识体系包括()。
答案:EDA工具软件的使用;硬件描述语言HDL;可编程逻辑器件的原理、结构及应用5.EDA开发设计流程主要包括()、硬件调试五个步骤。
答案:编程或配置;设计仿真;设计实现;设计输入6.在设计输入环节主要包括()两种方法。
答案:图形输入;文本输入7.设计实现主要包括()两个过程。
答案:适配;综合8.EDA开发设计的最后一个环节是()。
答案:硬件调试9.下列语言中不属于硬件描述语言的是()。
答案:python10.硬件描述语言编写的程序经过EDA工具的()综合之后,生成为ASIC设计提供的电路网表文件。
答案:综合器项目二测试1.下列结构中,不属于典型的VHDL程序结构的有()。
答案:库2.库语句使用()关键词定义。
答案:LIBRARY3.实体语句使用()关键词定义。
答案:ENTITY4.结构体语句使用()关键词定义。
答案:ARCHITECTURE5.设计实体定义设计元件的()特性。
答案:外部6.下列数制基数表示的数字钟错误的是()。
答案:5#40#E17.下列标识符中错误的是()。
答案:A_B_8.常量使用()关键词定义。
答案:CONSTANT9.变量使用()关键词定义。
答案:VARIABLE10.信号使用()关键词定义。
答案:SIGNAL项目三测试1.下列语句可以实现与非门功能的语句有()。
答案:y<=NOT(a AND b);y<=a NAND b2.下列语句可以实现或非门功能的语句有()。
答案:y<=a NOR b;y<=NOT(a OR b)3.下列语句属于信号赋值语句的有()。
四川省自考eda试题及答案
四川省自考eda试题及答案四川省高等教育自学考试电子设计自动化(EDA)试题及答案一、单项选择题(每题1分,共10分)1. 在EDA技术中,HDL是指什么?A. Hardware Description LanguageB. Human-Device LanguageC. High-Definition LanguageD. Home Development Language答案:A2. 下列哪个软件不是EDA工具?A. CadenceB. MATLABC. SynopsysD. Microsoft Office答案:D3. 在EDA设计流程中,逻辑综合的主要目的是?A. 优化电路布局B. 将高级语言代码转换为门级电路C. 提供电路的热仿真分析D. 进行电源管理设计答案:B4. 以下哪个不是EDA设计中的常见文件格式?A. VHDLB. VerilogC. PDFD. EDIF答案:C5. 在EDA工具中,仿真工具的主要作用是什么?A. 绘制电路原理图B. 对电路进行逻辑功能测试C. 进行PCB布线D. 生成电路板生产文件答案:B6. 下列哪个不是EDA设计中的布局与布线工具?A. Place and RouteB. Schematic CaptureC. FloorplanningD. Power Planning答案:B7. 在EDA设计中,时序分析的主要目的是?A. 确定电路的功耗B. 确保电路的信号完整性C. 计算电路的成本D. 评估电路的散热性能答案:B8. 以下哪个是EDA设计中的测试工具?A. Logic SimulatorB. OscilloscopeC. MultimeterD. All of the above答案:D9. 在EDA技术中,ASIC指的是什么?A. Application-Specific Integrated CircuitB. Advanced System for Integrated CircuitC. Automated System for Integrated CircuitD. Application-Specific Integrated Computer答案:A10. 下列哪个是EDA设计中的优化工具?A. Synthesis ToolB. Layout ToolC. Verification ToolD. Both A and B答案:D二、多项选择题(每题2分,共10分)11. 在EDA设计中,以下哪些属于前端设计工具?A. Schematic CaptureB. Place and RouteC. Logic SimulatorD. Floorplanning答案:A C12. 在EDA设计流程中,后端设计通常包括哪些步骤?A. 布局(Layout)B. 布线(Route)C. 时序分析(Timing Analysis)D. 原理图绘制(Schematic Drawing)答案:A B C13. 以下哪些因素会影响EDA设计的布线结果?A. 电路板尺寸B. 信号完整性C. 电源管理D. 元件成本答案:A B C14. 在EDA设计中,哪些因素需要在逻辑综合时考虑?A. 设计的面积B. 电源消耗C. 操作频率D. 成本预算答案:A B C15. 下列哪些是EDA设计中的验证工具?A. Logic SimulatorB. Timing SimulatorC. RTL ViewerD. Oscilloscope答案:A B C三、简答题(每题5分,共20分)16. 简述EDA技术在现代电子设计中的重要性。
电子科技大学 电子设计自动化技术期末试卷B答案
《大规模数字集成电路设计》试卷B标准答案与评分细则(卷面总分:80分)一. 名词解释(2分×6题)(评分标准:给出正确英文的2分/题,仅给中文解释1分/题)1.EDA:Electronic Design Automation2.IP:Intellectual Property3.CPLD:Complex Programmable Logic Device4.ASIC:Application Specific Integrated Circuit5.MCU:Micro Control Unit6.FSM:Finite State Machine二. 填空题(每空1分,共18分)(评分标准:填写正确 1分/空)1.VHDL用Active-HDL对 HDL程序进行仿真时,常用的三种仿真方法:用图形化界面加激励(或:手动加测试激励)、编写测试平台文件(或:编写TestBench)、编写宏文件(编写*.do文件)。
2.VHDL程序主要有三种描述方式:行为描述方式、RTL描述方式、结构描述方式。
3.VHDL程序中数值的载体称为对象。
VHDL中有四种对象,分别是:常量(CONSTANT)、变量(V ARIABLE)、信号(SIGNAL)、文件(FILE)。
4.VHDL的并行信号赋值语句,除了常见的一般信号赋值语句(如:C<=A and B;)外,还有两种形式,它们分别是:条件信号赋值语句(或:条件型)、选择信号赋值予局(或:选择型)。
5.除了Δ延迟外,VHDL还支持两类性质的延迟,它们分别是:_惯性延迟(INERTIAL)、传播延迟(TRANSPORT)。
6.VHDL结构描述是实体构造的层次化、结构化的表现。
试列举出其中两种描述结构的语句COMPNENT语句(或:元件语句)、GENERATE语句(或:生成语句)。
(也可填:GENERIC语句/参数说明语句、端口映射语句等)7.IP核可以分为三种,即:软核、固核、硬核。
电子设计自动化智慧树知到答案章节测试2023年滨州学院
第一章测试1.请指出Altera Cyclone系列中的EP1C6Q240C8这个器件是属于()A:GALB:CPLDC:ROMD:FPGA答案:D2.下列对CPLD结构与工作原理的描述中,正确的()A:CPLD是基于查找表结构的可编程逻辑器件B:早期的CPLD是从FPGA的结构扩展而来C:在Xilinx公司生产的器件中,XC9500系列属CPLD结构D:CPLD即是现场可编程逻辑器件的英文简称答案:C3.下面哪个是FPGA的可编程结构()A:与或阵列可编程B:与阵列可编程C:或阵列可编程D:查找表(LUT)答案:D4.IP核在EDA技术和开发中具有十分重要的地位,IP是指()A:都不是B:知识产权C:网络地址D:互联网协议答案:B5.下列哪个是硬件描述语言的英文缩写()A:EDAB:ISPC:ASICD:HDL答案:D第二章测试1.VHDL语言中用于存放用户设计和定义的一些设计单元和程序包的工作库是()A:STD库B:VITAL库C:WORK库D:IEEE库答案:C2.在VHDL标识符命名规则中,以()开头的标识符是正确的。
A:字母或数字B:下划线C:字母D:数字答案:C3.不符合VHDL标准的标识符是()A:ad12B:a2b2C:%50D:a_b1答案:C4.对于信号和变量,哪一个是不正确的()A:变量的赋值符号是<=B:信号的赋值符号是<=C:变量的关键词是VARIABLED:信号的关键词是SIGNAL答案:A5.VHDL程序中,实体描述()A:器件外部特性B:器件的内部功能C:器件的综合约束D:器件外部特性与内部功能答案:A第三章测试1.必须在库和程序包中提前声明才能使用的数据类型是()A:BITB:BIT_VECTORC:STD_LOGICD:INTEGER答案:C2.关于VHDL数据类型,正确的是()A:用户可以定义子类型B:用户不能定义子类型C:用户可以定义任何类型的数据D:前面三个答案都是错误的答案:A3.使用STD_LOGIG_1164中的数据类型时()A:必须在库和包集合中声明B:可以直接调用C:必须在结构体中声明D:必须在实体中声明答案:A4.STD_LOGIC数据类型中定义的强未知字符是()A:xB:ZC:XD:z答案:C5.VHDL数据类型转换函数用于实现VHDL中各种数据类型互相转换。
电子设计自动化技术重点知识
电子设计自动化技术题库一、填空题1.软件环境要求运行在Windows 98/2000/NT或者更高版本操作系统下。
硬件环境要求P166CPU/RAM32MB/HD剩余400MB以上,显示分辨率为1024×768。
2.Protel99 SE主要由原理图设计模块Schematic模块,印制电路板设计模块PCB设计模块,电路信号仿真模块和PLD逻辑器设计模块组成。
3.文件管理,Protel9 SE的各菜单主要是进行各种文件命令操作,设置视图的显示方式以及编辑操作。
系统包括File,Edit, View, Windows和Help共5个下拉菜单。
4.Protel99 SE提供了一系列的工具来管理多个用户同时操作项目数据库。
每个数据库默认时都带有设计工作组Design Team,其中包括Members,Permissions,Sessions3个部分。
Members自带两个成员:系统管理员Admin和客户(Guest)。
系统管理员可以进行修改密码,增加访问成员,删除设计成员,修改权限等操作。
5.Protel99 SE主窗口主要由标题栏,菜单栏,工具栏,设计窗口,文档管理器,浏览管理器,状态栏以及命令指示栏等部分组成。
6.原理图设计窗口顶部为主菜单和主工具栏,左部为设计管理器Design Manager,右边大部分区域为编辑区,底部为状态栏和命令栏,中间几个浮动窗口为常用工具。
除主菜单外,上述各部件均可根据需要打开或关闭。
7.图纸方向:设置图纸是纵向和横向。
通常情况下,在绘图及显示时设为横向,在打印时设为纵向。
8.网格设置。
Protel99 SE提供了线状网络(Lines)和点状网络(Dots)两种不同的网状的网格。
9.执行菜单命令“Design\Options”,在弹出的“Document options”对话框中选择“Organization”选项卡中,可以分别填写设计单位名称,单位地址,图纸编号及图纸的总数,文件的标题名称以及版本号或日期等。
EDA试题答案2
在EDA发展的_________阶段,人们只能借助计算机对电路进行模拟、预测,以及辅助进行集成电路版图编辑、印刷电路板(PCB)布局布线等工作。
A.CADB.CAEC.EDAD.VHDL2【单选题】在EDA发展的_______阶段,人们可与将计算机作为单点设计工具,并建立各种设计单元库,开始用计算机将许多单点工具集成在一起使用。
A CADB CAEC EDAD VHDL3【单选题】将设计的系统或电路按照EDA开发软件要求的某种形式表示出来,并送入计算机的过程称为( ).A设计输入B设计输出C仿真D综合4【单选题】在设计输入完成后,应立即对设计文件进行()A编辑B编译C功能仿真D时序仿真5【单选题】在设计处理工程中,可产生器件编程使用的数据文件,对于CPLD来说是产生()A熔丝图B位流数据C图形D仿真6【单选题】在设计处理过程中,可产生供器件编程使用的数据文件,对于FPGA来说是生成()A熔丝图B位流数据C图形D仿真7【单选题】在C语言的基础上演化而来的硬件描述语言是()A VHDLB Verilog HDLC AHDD CUPL8【单选题】基于硬件描述语言HDL的数字系统设计目前最常用的设计法称为()设计法。
A底向上B自顶向下C积木式D定层9【单选题】在EDA工具中,能将硬件描述语言转化为硬件电路的重要工具软件称为()。
A仿真器B综合器C适配器D下载器10【单选题】在EDA工具中,能完成在目标系统器件上布局布线软件称为()A仿真器B综合器C适配器D下载器11【单选题】基于可编程器件EDA技术主要包括四大要素:大规模可编程器件、硬件描述语言、实验开发系统和()A软件开发工具B监控程序模块C模拟器件及接口D硬件电路仿真器件测试12【单选题】大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是()。
A FPGA全称为复杂可编程逻辑器件B FPGA是基于乘积项结构的可编程逻辑器件C基于SRAM的FPGA器件,在每次上电后必须进行一次配置D在Altera公司生产的器件中,MAX7000系列属FPGA结构13【单选题】EPF10K30TC144-4具有多少个管脚()。
电子设计自动化(eda)期末考试试题及答案
三、改错;找到5处错误并改正(10分)LIBRARY IEEE ;USE IEEE.STD_LOGIC_1164.ALL ;ENTITY CNT4 ISPORT ( CLK :IN STD_LOGIC ;Q :OUT STD_LOGIC_VECTOR(3 DOWNTO 0))END ;ARCHITECTURE bhv OF CNT ISSIGNAL Q1 : STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS (CLK)BEGINIF CLK'EVENT AND CLK THENQ1 〈= Q1 + 1 ;END PROCESS ;Q 〈= Q1 ;END bhv;四、设计,要求写出完整的vhdl代码。
(65分)1、16位硬件加法器,要求有进位输入和进位输出。
(15分)2、七段数码管译码显示电路设计(数码管共阳极接法)(12分)要求输入BCD码,输出驱动数码管显示0到93、十进制加法计数器,要求有复位功能。
(13分)4、上升沿触发的D触发器,要求用三种方式描述,实体可只写一个。
(15分)B : IN STD_LOGIC_VECTOR(15 DOWNTO 0) ;S : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ;COUT : OUT STD_LOGIC );END ADDER4B ;ARCHITECTURE behav OF ADDER16 ISSIGNAL SINT : STD_LOGIC_VECTOR(16 DOWNTO 0);SIGNAL AA,BB : STD_LOGIC_VECTOR(16 DOWNTO 0) ; BEGINAA〈='0'&A ;BB<=’0'&B ;SINT <= AA + BB + CIN ;S <= SINT(15 DOWNTO 0);COUT <= SINT(16);END behav ;实体正确7分,能完成加法5分,进位正确3分2、七段数码管译码显示电路设计(数码管共阳极接法)(12分)要求输入BCD码,输出驱动数码管显示0到9LIBRARY IEEE ;USE IEEE.STD_LOGIC_1164。
电子设计自动化技术
电子设计自动化技术一、选择题:(每题2分,共30分)1. 不符合1987VHDL标准的标识符是()。
A. a2b2B. a1b1C. ad12D. %502. VHDL语言中变量定义的位置是()。
A. 实体中中任何位置B. 实体中特定位置C. 结构体中任何位置D. 结构体中特定位置3. VHDL语言中信号定义的位置是()。
A. 实体中任何位置B. 实体中特定位置C. 结构体中任何位置D. 结构体中特定位置4. 变量是局部量可以写在()。
A. 实体中B. 进程中C. 线粒体D. 种子体中5. 变量和信号的描述正确的是()。
A. 变量赋值号是:=B. 信号赋值号是:=C. 变量赋值号是<=D. 二者没有区别6. 变量和信号的描述正确的是()。
A. 变量可以带出进程B. 信号可以带出进程C. 信号不能带出进程D. 二者没有区别7. 关于VHDL数据类型,正确的是()。
A. 数据类型不同不能进行运算B. 数据类型相同才能进行运算C. 数据类型相同或相符就可以运算D. 运算与数据类型无关8. 下面数据中属于实数的是()。
A. 4.2B. 3C. ‘1’D. “11011”9. 下面数据中属于位矢量的是()。
A. 4.2B. 3C. ‘1’D. “11011”10. 正确给变量X赋值的语句是()。
A. X<=A+B;B. X:=A+b;C. X=A+B;D. 前面的都不正确11. 可以不必声11.明而直接引用的数据类型是()。
A. STD_LOGICB. STD_LOGIC_VECTORC. BITD. 前面三个答案都是错误的12. STD_LOGIG_1164中定义的高阻是字符()。
A. XB. xC. zD. Z13. STD_LOGIG_1164中字符H定义的是()。
A. 弱信号1B. 弱信号0C. 没有这个定义D. 初始值14. 使用STD_LOGIG_1164使用的数据类型时()。
A.可以直接调用B.必须在库和包集合中声明C.必须在实体中声明D. 必须在结构体中声明15. MAX+PLUSII的设计文件不能直接保存在()。
EDA技术(电子设计自动化)(本科)3
作业提交 31. EAB 中 RAM 的大小可灵活配置,Altera FLEX 10K 系列器件中的 EAB 作 RAM 用时, 有哪几种配置模式512x8, 1024x4, (A) 2048x2, 4096x1 [参考答案:D] 分值:5256x4, (B) 512x2,1024x1256x16, 512x8, (C) 1024x4, 2048x2256x8, 512x4, (D) 1024x2, 2048x10得分:分系统自动批改于 2018 年 11 月 22 日 17 点 28 分2. 现代 EDA 设计思想是______________。
(A) 自下而上 (B) 自外而里 (C) 自里而外 (D) 自上而下[参考答案:D] 分值:50得分:分系统自动批改于 2018 年 11 月 22 日 17 点 28 分3. 值为“1110”的标准逻辑矢量,进行 sll 运算后值为____________ 。
(A) 1100 (B) 1110 (C) 1010 (D) 111[参考答案:A] 分值:50得分:分系统自动批改于 2018 年 11 月 22 日 17 点 28 分4. Altera FLEX 10K 系列器件主要由以下哪几部分组成GLB\ 全局 布线 区\输 (A) 出布 线区\ 加密 单元CLB\IOB\ 配置存储 (B) 单元\可编 程互连[参考答案:C] 分值:5EAB\LAB\ (C) 快速通道互连\I/O(D) EAB\LAB\GLB\IOB0得分:分系统自动批改于 2018 年 11 月 22 日 17 点 28 分5. 字符串型文字 O“1234”的长度为___________。
(A) 16 (B) 4 (C) 12 (D) 8[参考答案:C] 分值:50得分:分系统自动批改于 2018 年 11 月 22 日 17 点 28 分6. Altera 公司开发的开发软件为(A) ispDesignEXPERT (B) MaxplusⅡ (C) Foundation (D) ISE[参考答案:B] 分值:50得分:分系统自动批改于 2018 年 11 月 22 日 17 点 28 分7. 根据 VHDL 语法规则,下面哪个标识符是非法的标识符(A) not—Ack (B) constant (C) FFT_1024_1 (D) state0[参考答案:B] 分值:50得分:分系统自动批改于 2018 年 11 月 22 日 17 点 28 分8. MAX+PLUS 的文本文件类型是(后缀名)是(A) *.scf (B) *.sof (C) *.gdf (D) *.vhd[参考答案:D] 分值:50得分:分系统自动批改于 2018 年 11 月 22 日 17 点 28 分9. 下面哪种语句不是顺序语句(A) wait 语句 (B) case 语句 (C) if 语句 (D) component 语句[参考答案:D] 分值:50得分:分系统自动批改于 2018 年 11 月 22 日 17 点 28 分10. 国际上生产 FPGA/CPLD 的三家主流公司为Altera、 (A) Marax、Lattice 公司IBM、 Xilinx、 (B) Lattice 公 司[参考答案:C] 分值:5Altera、 (C) Xilinx、Lattice 公司Altera、 (D) Xilinx、AD公司0得分:分系统自动批改于 2018 年 11 月 22 日 17 点 28 分11. 关于数组 A 的定义如下:signal A:bit_vector(7 downto 0);那么,A=“00110101”, A(7 downto 5)=_____________。
《EDA技术》项目答辩—问答题参考答案
《EDA技术》项目答辩—问答题参考答案1、什么是EDA技术?EDA技术主要包含哪三个方面的内容?答:(1)电子设计自动化EDA(Electronic Design Automation)技术是以大规模可编程逻辑器件为设计载体,通过硬件描述语言设计,EDA软件编译、仿真,最终下载到设计载体中,从而完成系统电路设计任务的新一代设计技术。
(2)EDA技术主要包含大规模可编程逻辑器件(PLD)、硬件描述语言和EDA 开发软件三个方面的内容。
2、请简述利用EDA技术设计项目二“多功能电子钟的设计”的开发流程??答:(1)设计准备本次项目设计的是多功能电子钟系统,经过小组讨论,选择的方案为EDA 设计方案,并采用自顶而下的设计方法。
考虑到该系统具备的功能有计时和报时的基本功能,因此该系统电路结构简单,所占资源少,采用了Altera公司推出的CycloneIII FPGA(EP3C10E144)作为项目目标器件。
(2)设计输入本小组采用了硬件描述语言文本输入方式,用V erilogHDL对电路进行逻辑功能描述。
(3)设计处理当系统中的电路用V erilog描述后,写出了源程序代码,采用Altera公司的EDA开发软件——QuartusII9.0 对源程序进行综合、优化、适配、分割等,再经过功能仿真进行功能验证,最后引脚锁定后全程编译生成下载文件(sof文件)。
(4)器件编程与测试将下载文件(sof文件),通过USB-Blater编程器下载到型号为KX-7C5E+的开发板中,在开发板中对设计的电路进行硬件测试。
3、在EDA技术中,顶层电路和底层电路的含义是什么?答:(1)顶层电路:用于描述所设计的整个电路系统功能的电路,既可以用硬件描述语言方式来设计,也可以将所有的底层电路连接在一起,搭建成一个原理图的方式来设计。
(2)底层电路:用于描述整个电路系统中的某个单一功能的电路,一般用硬件描述语言方式来设计,也可以用IP核来描述。
电子设计自动化(eda)期末考试试题及答案
PROCESS(CLK)
BEGIN
IFCLK'EVENTANDCLKTHEN
Q1<=Q1+1;
ENDPROCESS;
Q<=Q1;
ENDbhv;
四、设计,要求写出完整的vhdl代码。(65分)
1、16位硬件加法器,要求有进位输入和进位输出。(15分)
2、七段数码管译码显示电路设计(数码管共阳极接法)(12分)
USEIEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITYADDER16IS
PORT(CIN:INSTD_LOGIC;
A:INSTD_LOGIC_VECTOR(15DOWNTO0);
B:INSTD_LOGIC_VECTOR(15DOWNTO0);
Sห้องสมุดไป่ตู้OUTSTD_LOGIC_VECTOR(15DOWNTO0);
IFCLK'EVENTANDCLK='1'THEN
Q1<=Q1+1;
ENDIF;
ENDPROCESS;
Q<=Q1;
ENDbhv;
每个2分
四、设计,要求写出完整的vhdl代码。(65分)
1、16位硬件加法器,要求有进位输入和进位输出。(15分)
LIBRARYIEEE;
USEIEEE.STD_LOGIC_1164.ALL;
(9)在vhdl中变量可在结构体和进程中定义和使用。()
(10)在进程中同一信号有多个赋值源,实际完成赋值的是最接近begin的信号。()
二、简答题(15分)
1、简述fpga/cpld的设计流程。(5分)
2、在vhdl中端口模式有那几种?并说明数据流动方向。(4分)
(完整word版)电子设计自动化技术试卷1答案
Programmable Logic Device 可编程逻辑器件7. JTAGJTAG Joint Test Action Group 联合测试行动小组8. IPIntellectual Property 知识产权9. ASICApplication Specific Integrated Circuits 专用集成电路10.VHDLVery-High-Speed Integrated Circuit Hardware Description Language超高速集成电路硬件描述语言每小题写出英文全称2分,中文含义1分三、VHDL程序填空1 SEG7DEC "1101101" "1111111"2 '1' WHEN A='0' AND B='1' ELSE3 0 ENA='1' outy+14 0000000000000000 D sreg(15 downto 1)<=sreg(14 downto 0)每空3分四、1.时序电路的初始状态常常由复位信号来设置。
根据复位信号复位时机的不同,可将复位分为同步复位和异步复位两种(4分)。
所谓同步复位,就是当复位信号有效且在给定的时钟边沿到来时,电路才被复位(3分),此时复位的状态与时钟同步,有助于信号的稳定和系统毛刺的消除;异步复位状态与时钟状态不要求同步,一旦复位信号有效,电路就被复位(3分)。
2.主要PLD厂商:1)Altera:Altera公司在20世纪90年代以后发展很快,是最大的可编程逻辑器件供应商之一。
(2分)2)Xilinx: FPGA的发明者,老牌PLD公司,是最大的可编程逻辑器件供应商之一。
(2分)3)Lattice (2分)CPLD/FPGA器件的开发设计一般可以分为设计输入(1分)、设计实现(1分)、设计校验(1分)和下载编程(1分)四个步骤。
电子设计自动化试卷参考答案
山东英才学院2014-2015学年第一学期期末考试参考答案及评分标准课程代码:02117024课程名称:《电子设计自动化》试卷(A)年级2011级专业本科电气工程(本试卷考试时间60分钟满分100分)注意事项:1.务必写清大小题号、每个大题的题型、大小题分值、评分标准。
2.B5纸张打印。
3.正文字体和字号:中文字体统一使用宋体、英文字体统一使用Times New Roman,字号统一使用五号,题与题之间的行间距:固定值20磅。
文科类样例:一、单项选择题(每小题2分,共20分。
)1、A2、B3、A4、D5、A6、B7、A8、B9、A 10、A二、程序填空题(共10个填空,每空得2分,共20分。
)1、STD_LOGIC_11642、CNT103、BEGIN4、CLK'EVENT AND CLK = '1'5、Q <= Q1;6、IN7、OUT8、79、ELSE 10、B三、EDA名词解释题(1至6题每题2分,第7题8分共20分。
)1.ASIC 专用集成电路2.FPGA 现场可编程门阵列3.CPLD 复杂可编程逻辑器件4.EDA 电子设计自动化5.IP 知识产权核6.SOC 单芯片系统简要解释JTAG,指出JTAG的用途JTAG,joint test action group,联合测试行动小组的简称,又意指其提出的一种硬件测试标准,常用于器件测试、编程下载和配置等操作。
四、程序改错题(每小题5分,共10分。
)1.在程序中存在两处错误,试指出,并说明理由:第14行 TMP附值错误第29与30行之间,缺少WHEN OTHERS语句2.修改相应行的程序:错误1 行号: 9 程序改为:TMP : STD_LOGIC_VECTOR(3 DOWNTO 0);错误2 行号: 29 程序改为:该语句后添加 WHEN OTHERS => LED7S <= "0000000";四、程序改错题(每小题15分,共30分。
电子设计自动化b答案
一、1、数字电路模拟电路数模混合2. 系统设计系统综合优化系统实现3. 功能分析结构设计系统描述功能仿真4. 仿真描述可综合5 实体结构体库程序包配置6. IEEEE STD WORK7. 简单条件选择8 输入输出结构信息9. 元件例化配置语句10. 结构体程序包块二、1、由底向上的硬件设计方法有如下几个特征:(1) 设计的方向是自底至上,先设计最小的单元电路。
使用该方法进行硬件设计首先要选择具体的元器件,并用这些元器件进行逻辑电路设计,从而完成系统的硬件设计,然后再将各功能模块连接起来,完成整个系统的硬件设计。
(2) 采用通用逻辑元器件,通常采用74系列或CMOS4000系列的产品进行设计。
(3) 在系统硬件设计的后期进行调试和仿真。
只有在部分或全部硬件电路连接完成后,才可以进行电路调试,一旦考虑不周到,系统设计存在较大缺陷,则要重新设计,使设计周期延长。
(4) 设计结果是多张电路图。
设计调试完毕形成电路原理图,该图包括元器件型号和信号之间的互连关系等。
2、功能仿真:没有加入硬件信息时对电路进行功能是否正确的仿真。
时序仿真:加入硬件信息后对设计的电路进行仿真。
3、进程中只能有顺序语句进程的启动由敏感信号参数表中的信号的变化来启动,如果没有敏感信号参数表,则在进程顺序描述语句中必须含有W AIT语句。
信号是多个进程间的通信线进程是重要的建模工具4、重载子程序后VHDL编译器就将会选择相适应的一个目标。
重载可以使设计人员避免为了重复操作而书写多个不同名字的子程序,使VHDL源代码易于维护,易于使用。
三、四、1、Entity sel41 isport(sel:in bit_vector(1 downto 0);d0,d1,d2,d3:in bit;q:out bit);end sel41;architecture a of sel41 isbeginprocess(d0,d1,d2,d3,sel)beginif sel=“00” then q<=d0;elsif sel=“01” then q<=d1;elsif sel=“10’ then q<=d2;else q<=d3;end if;end process;end a;2、LIBRARY IEEE ;USE IEEE.STD_LOGIC_1164.ALL ; ENTITY DFF1 ISPORT (CLK : IN STD_LOGIC ;D : IN STD_LOGIC ;Q : OUT STD_LOGIC ); END DFF1;ARCHITECTURE bhv OF DFF1 IS SIGNAL Q1 : STD_LOGIC ; -BEGINPROCESS (CLK)BEGINIF CLK'EVENT AND CLK = '1'THEN Q1 <= D ;END IF;Q <= Q1 ;END PROCESS ;END bhv;3、library ieee;use ieee.std_logic_1164.all;entity decode38 isport(a,b,c,G1,G2,G2B: in std_logic;y: out std_logic_vector(7 downto 0)); end entity decode38;architecture ex of decode38 issignal indata: std_logic_vector(2 downto 0);beginindata<= c & b & a;process(indata,G1,G2,G2B)beginif(G1 = '1'and G2 = '0' and G2B = '0') thencase indata iswhen "000" => y <= "11111110";when "001" => y <= "11111101";when "010" => y <= "11111011";when "011" => y <= "11110111";when "100" => y <= "11101111";when "101" => y <= "11011111";when "110" => y <= "10111111";when "111" => y <= "01111111";when others => y <= "XXXXXXXX";end case;elsey <= "11111111";end if;end process;end architecture ex;。
电子设计自动化考试试题
电子设计自动化考试试题一、选择题(共 20 题,每题 3 分)1、以下哪种软件是常用的电子设计自动化(EDA)工具?()A AutoCADB PhotoshopC Altium DesignerD Microsoft Word2、在 EDA 中,用于原理图绘制的工具通常不包括()A 导线绘制工具B 元件库管理工具C 布线规则设置工具D 3D 模型生成工具3、电子设计中,以下哪种文件格式常用于保存 PCB 布局?()AdocBpdfCschDpcb4、 EDA 软件中的仿真功能主要用于()A 查看设计的外观效果B 预测电路的性能和功能C 计算元件的成本D 生成生产文件5、在PCB 布线中,以下哪种布线方式有利于减少信号干扰?()A 直角布线B 45 度角布线C 随意布线D 曲线布线6、对于复杂的数字电路设计,通常采用的设计方法是()A 自底向上B 自顶向下C 随机设计D 模仿已有设计7、 EDA 工具中的库文件包含了()A 元件符号和封装B 电路原理图C PCB 布局图D 仿真结果8、以下哪种 EDA 工具常用于集成电路设计?()A ProtelB CadenceC MultisimD Eagle9、在进行 PCB 设计时,电源层和地层的设置主要是为了()A 提高布线效率B 增强信号稳定性C 便于元件布局D 节省板材空间10、电子设计自动化中,DRC 检查的目的是()A 检查设计的美观性B 检查设计是否符合规则C 计算布线长度D 评估电路性能11、以下哪种元件在 EDA 库中通常需要自定义?()A 电阻B 电容C 集成电路芯片D 二极管12、对于高速数字电路设计,以下哪个因素最为关键?()A 元件布局B 布线长度C 电源稳定性D 信号完整性13、 EDA 软件中的布线策略通常不包括()A 最短路径布线B 最小电阻布线C 最小电容布线D 最大电流布线14、在原理图设计中,网络标号的作用是()A 标注元件参数B 表示信号连接C 区分不同元件D 增加原理图的可读性15、以下哪种 EDA 工具支持硬件描述语言(HDL)设计?()A ProteusB PADSC Quartus IID KiCAD16、进行 PCB 设计时,过孔的主要作用是()A 连接不同层的导线B 安装元件C 增强电路板强度D 标注电路板信息17、电子设计自动化中,以下哪种文件用于制造 PCB 板?()A 原理图文件B PCB 文件C 网络表文件D 仿真文件18、在 EDA 中,用于模拟电路仿真的工具通常是()A SPICEB ModelSimC ISED Vivado19、以下哪种情况可能导致 EDA 软件中的布线失败?()A 元件布局不合理B 布线规则设置过松C 电路板尺寸过大D 电源电压过高20、对于多层 PCB 板设计,内层通常用于()A 信号层B 电源层和地层C 元件层D 丝印层二、填空题(共 10 题,每题 2 分)1、 EDA 软件中,常用的原理图绘制快捷键有_____、_____等。
《电子设计自动化》考试试卷答案
一、1.VHDL的英文全写是:VHSIC(Very High Speed Integrated Circuit)Hardware Descriptiong Language.翻译成中文就是超高速集成电路硬件描述语言2. EDA是电子设计自动化(Electronic Design Automation)的缩写。
3. FPGA,即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。
4. CPLD复杂可编程逻辑器件,是从PAL和GAL器件发展出来的器件,相对而言规模大,结构复杂,属于大规模集成电路范围。
是一种用户根据各自需要而自行构造逻辑功能的数字集成电路。
5. 同步复位是复位信号和时钟同步,当时钟上升沿检测到复位信号,执行复位操作。
二、1.(1)与其他的硬件描述语言相比,VHDL具有更强的行为描述能力(2)VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。
(3)VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。
4)对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表。
2. ①CPLD更适合完成各种算法和组合逻辑,FPGA更适合于完成时序逻辑。
②CPLD的连续式布线结构决定了它的时序延迟是均匀的和可预测的,而FPGA的分段式布线结构决定了其延迟的不可预测性。
③在编程上FPGA比CPLD具有更大的灵活性④FPGA的集成度比CPLD高,具有更复杂的布线结构和逻辑实现。
⑤CPLD比FPGA使用起来更方便。
⑥CPLD的速度比FPGA快,并且具有较大的时间可预测性。
⑦在编程方式上,CPLD主要是基于E2PROM或FLASH存储器编程,编程次数可达1万次,优点是系统断电时编程信息也不丢失。
⑧CPLD保密性好,FPGA保密性差。
01 第一章 EDA技术开发基础 习题答案
填空题1、EDA是电子设计自动化(Electronic Design Automation)的缩写。
2、目前应用最为广泛的可编程逻辑器件是CPLD和FPGA。
3、硬件描述语言HDL(Hardware Description Language)是一种对于数字电路和系统进行性能描述和模拟的语言。
4、Quartus Ⅱ集成开发环境支持原理图、语言文本输入方式和波形或EDIF格式的文件作为输入5、目前应用比较广泛的EDA集成开发环境主要包括Altera公司的Quartus II,Xilinx 公司的ISE,以及Lattice公司的ispLEVER。
6、与VerilogHDL相比,VHDL在门级电路描述方面不如VerilogHDL,但在系统级抽象描述方面具有很大优势。
7、数字系统指的是交互式的、以离散形式表示的,具有存储、传输、信息处理能力的逻辑子系统的集合。
8、所谓仿真是指在集成开发环境中对用户的设计进行模拟运算以得到一个预期结果的过程。
综述题1、EDA设计主要涉及那四个方面的内容?答案:EDA技术涉及面广,内容丰富,其主要涉及如下四个方面的内容:●可编程逻辑器件(PLD):即应用EDA设计完成的电子系统的载体,包括CPLD、FPGA等。
●硬件描述语言(HDL):用于描述系统的结构和功能,是EDA设计的主要表达手段,包括VHDL、AHDL、VerilogHDL等。
●集成开发环境:是EDA设计的开发环境,常见的有Quartus、Foundation Series等。
●实验开发系统:实现可编程器件下载和验证的工具,包括了编程器、开发板等。
2、硬件描述语言HDL和传统原理图设计方法比较有那些优点?答案:与传统的原理图设计方法相比较,硬件描述语言能更有效表示硬件电路的特性,具有更多优点。
●用硬件描述语言(HDL)设计电路能够获得非常抽象的描述,设计与具体的硬件•2 •电路无关。
对设计者而言,在高抽象层次进行系统设计,设计者可以将精力主要集中在系统级问题上,而不必关心低层次结构设计或制造工艺等的细节问题,这样可以节省开发时间和成本。
09级《电子设计自动化技术》考试A卷参考答案
五. 用 VHDL 设计一个分频电路,要求将 20MHZ 的方波信号变为 100KHZ 的方波 信号。 (13 分)
答: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; (2 分) ENTITY JYFP IS PORT( CLK_IN,RESET:IN STD_LOGIC; CLK_OUT:OUT STD_LOGIC ); END ENTITY JYFP; (3 分) ARCHITECTURE ART OF JYFP IS CONSTANT DIVIDE_PERIOD:integer :=50; (2 分) --将 20MHZ 的信号变为 100KHZ,分频常数为 20000000/100000=200 BEGIN DIVIDE_CLK:PROCESS(CLK_IN,RESET) IS VARIABLE CNT:INTEGER RANGE 0 TO 49; BEGIN IF (RESET = '1') THEN CNT := 0; CLK_OUT <= '0'; ELSIF RISING_EDGE(CLK_IN) THEN IF (CNT < (DIVIDE_PERIOD/2) THEN CLK_OUT <= '1'; --前 25 个周期输出为高电平 CNT := CNT + 1; ELSIF (CNT < (DIVIDE_PERIOD-1)) THEN CLK_OUT <= '0'; --后 25 个周期输出为低电平 CNT := CNT + 1; ELSE CNT := 0; END IF; END IF; END PROCESS DIVIDE_CLK; END ARCHITECTURE ART; (6 分)
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
第一章1-1 EDA技术与ASIC设计与FPGA开发有什么关系? P3~4答:利用EDA技术进行电子系统设计的最后目标就是完成专用集成电路ASIC的设计与实现;FPGA与CPLD就是实现这一途径的主流器件。
FPGA与CPLD通常也被称为可编程专用IC,或可编程ASIC。
FPGA与CPLD的应用就是EDA技术有机融合软硬件电子设计技术、SoC(片上系统)与ASIC设计,以及对自动设计与自动实现最典型的诠释。
1-2与软件描述语言相比,VHDL有什么特点? P6答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。
综合器将VHDL程序转化的目标就是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。
综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性与创造性,它不就是机械的一一对应式的“翻译”,而就是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。
l-3什么就是综合?有哪些类型?综合在电子设计自动化中的地位就是什么? P5什么就是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为与功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。
有哪些类型? 答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。
(2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。
(3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。
(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。
综合在电子设计自动化中的地位就是什么? 答:就是核心地位(见图1-3)。
综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库与约束条件信息,将VHDL程序转化成电路实现的相关信息。
1-4在EDA技术中,自顶向下的设计方法的重要意义就是什么? P7~10答:在EDA技术应用中,自顶向下的设计方法,就就是在整个设计流程中各设计环节逐步求精的过程。
1-5 IP在EDA技术的应用与发展中的意义就是什么? P11~12答:IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。
第二章2-1 叙述EDA的FPGA/CPLD设计流程。
P13~16答:1、设计输入(原理图/HDL文本编辑);2、综合;3、适配;4、时序仿真与功能仿真;5、编程下载;6、硬件测试。
2-2 IP就是什么?IP与EDA技术的关系就是什么? P24~26IP就是什么? 答:IP就是知识产权核或知识产权模块,用于ASIC或FPGA/CPLD 中的预先设计好的电路功能模块。
IP与EDA技术的关系就是什么? 答:IP在EDA技术开发中具有十分重要的地位;与EDA技术的关系分有软IP、固IP、硬IP:软IP就是用VHDL等硬件描述语言描述的功能块,并不涉及用什么具体电路元件实现这些功能;软IP通常就是以硬件描述语言HDL源文件的形式出现。
固IP就是完成了综合的功能块,具有较大的设计深度,以网表文件的形式提交客户使用。
硬IP提供设计的最终阶段产品:掩模。
2-3 叙述ASIC的设计方法。
P18~19答:ASIC设计方法,按版图结构及制造方法分有半定制(Semi-custom)与全定制(Full-custom)两种实现方法。
全定制方法就是一种基于晶体管级的,手工设计版图的制造方法。
半定制法就是一种约束性设计方式,约束的目的就是简化设计,缩短设计周期,降低设计成本,提高设计正确率。
半定制法按逻辑实现的方式不同,可再分为门阵列法、标准单元法与可编程逻辑器件法。
2-4 FPGA/CPLD在ASIC设计中有什么用途? P16,18答:FPGA/CPLD在ASIC设计中,属于可编程ASIC的逻辑器件;使设计效率大为提高,上市的时间大为缩短。
2-5 简述在基于FPGA/CPLD的EDA设计流程中所涉及的EDA工具,及其在整个流程中的作用。
P19~23答:基于FPGA/CPLD的EDA设计流程中所涉及的EDA工具有:设计输入编辑器(作用:接受不同的设计输入表达方式,如原理图输入方式、状态图输入方式、波形输入方式以及HDL的文本输入方式。
);HDL综合器(作用:HDL综合器根据工艺库与约束条件信息,将设计输入编辑器提供的信息转化为目标器件硬件结构细节的信息,并在数字电路设计技术、化简优化算法以及计算机软件等复杂结体进行优化处理);仿真器(作用:行为模型的表达、电子系统的建模、逻辑电路的验证及门级系统的测试);适配器(作用:完成目标系统在器件上的布局与布线);下载器(作用:把设计结果信息下载到对应的实际器件,实现硬件设计)。
第三章3-1 OLMC(输出逻辑宏单元)有何功能?说明GAL就是怎样实现可编程组合电路与时序电路的。
P34~36OLMC有何功能? 答:OLMC单元设有多种组态,可配置成专用组合输出、专用输入、组合输出双向口、寄存器输出、寄存器输出双向口等。
说明GAL就是怎样实现可编程组合电路与时序电路的? 答:GAL(通用阵列逻辑器件)就是通过对其中的OLMC(输出逻辑宏单元)的编程与三种模式配置(寄存器模式、复合模式、简单模式),实现组合电路与时序电路设计的。
3-2 什么就是基于乘积项的可编程逻辑结构? P33~34,40答:GAL、CPLD之类都就是基于乘积项的可编程结构;即包含有可编程与阵列与固定的或阵列的PAL(可编程阵列逻辑)器件构成。
3-3 什么就是基于查找表的可编程逻辑结构? P40~41答:FPGA(现场可编程门阵列)就是基于查找表的可编程逻辑结构。
3-4 FPGA系列器件中的LAB有何作用? P43~45答:FPGA(Cyclone/Cyclone II)系列器件主要由逻辑阵列块LAB、嵌入式存储器块(EAB)、I/O单元、嵌入式硬件乘法器与PLL等模块构成;其中LAB(逻辑阵列块)由一系列相邻的LE(逻辑单元)构成的;FPGA可编程资源主要来自逻辑阵列块LAB。
3-5 与传统的测试技术相比,边界扫描技术有何优点? P47~50答:使用BST(边界扫描测试)规范测试,不必使用物理探针,可在器件正常工作时在系统捕获测量的功能数据。
克服传统的外探针测试法与“针床”夹具测试法来无法对IC内部节点无法测试的难题。
3-6 解释编程与配置这两个概念。
P58答:编程:基于电可擦除存储单元的EEPROM或Flash技术。
CPLD一股使用此技术进行编程。
CPLD被编程后改变了电可擦除存储单元中的信息,掉电后可保存。
电可擦除编程工艺的优点就是编程后信息不会因掉电而丢失,但编程次数有限,编程的速度不快。
配置:基于SRAM查找表的编程单元。
编程信息就是保存在SRAM中的,SRAM在掉电后编程信息立即丢失,在下次上电后,还需要重新载入编程信息。
大部分FPGA 采用该种编程工艺。
该类器件的编程一般称为配置。
对于SRAM型FPGA来说,配置次数无限,且速度快;在加电时可随时更改逻辑;下载信息的保密性也不如电可擦除的编程。
3-7 请参阅相关资料,并回答问题:按本章给出的归类方式,将基于乘积项的可编程逻辑结构的PLD器件归类为CPLD;将基于查找表的可编程逻辑结构的PLD器什归类为FPGA,那么,APEX系列属于什么类型PLD器件? MAX II系列又属于什么类型的PLD器件?为什么? P54~56答:APEX(Advanced Logic Element Matrix)系列属于FPGA类型PLD器件;编程信息存于SRAM中。
MAX II系列属于CPLD类型的PLD器件;编程信息存于EEPROM 中。
第四章4-1:画出与下例实体描述对应的原理图符号元件:ENTITY buf3s IS -- 实体1:三态缓冲器PORT (input : IN STD_LOGIC ; -- 输入端enable : IN STD_LOGIC ; -- 使能端output : OUT STD_LOGIC ) ; -- 输出端END buf3x ;ENTITY mux21 IS --实体2: 2选1多路选择器PORT (in0, in1, sel : IN STD_LOGIC;output : OUT STD_LOGIC);4-1、答案4-2、图3-30所示的就是4选1多路选择器,试分别用IF_THEN语句与CASE语句的表达方式写出此电路的VHDL程序。
选择控制的信号s1与s0的数据类型为STD_LOGIC_VECTOR;当s1='0',s0='0';s1='0',s0='1';s1='1',s0='0'与s1='1',s0='1'分别执行y<=a、y<=b、y<=c、y<=d。
4-2、答案LIBRARY IEEE;USE IEEE、STD_LOGIC_1164、ALL;ENTITY MUX41 ISPORT(s:IN STD_LOGIC_VECTOR(1 DOWNTO 0); --输入选择信号a,b,c,d:IN STD_LOGIC; --输入信号y:OUT STD_LOGIC);--输出端END ENTITY;ARCHITECTURE ART OF MUX41 ISBEGINPROCESS(s)BEGINIF (S="00") THEN y<=a;ELSIF (S="01") TH EN y<=b;ELSIF (S="10") TH EN y<=c;ELSIF (S="11") TH EN y<=d;ELSE y<=NULL;END IF;EDN PROCESS;END ART;LIBRARY IEEE;USE IEEE、STD_LOGIC_1164、ALL;ENTITY MUX41 ISPORT(s:IN STD_LOGIC_VECTOR(1 DOWNTO 0); --输入选择信号a,b,c,d:IN STD_LOGIC; --输入信号y:OUT STD_LOGIC);--输出端END MUX41;ARCHITECTURE ART OF MUX41 ISBEGINPROCESS(s)BEGINCASE s ISWHEN “00” => y<=a;WHEN “01” => y<=b;WHEN “10” => y<=c;WHEN “11” => y<=d;WHEN OTHERS =>NULL;END CASE;END PROCESS;END ART;4-3、图3-31所示的就是双2选1多路选择器构成的电路MUXK,对于其中MUX21A,当s='0'与'1'时,分别有y<='a'与y<='b'。