消抖电路

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

原因分析
绝大多数按键都是机械式开关结构, 绝大多数按键都是机械式开关结构,由于机械式开关的核心 部件为弹性金属簧片, 部件为弹性金属簧片,因而在开关切换的瞬间会在接触点出现 来回弹跳的现象。虽然只是进行了一次按键, 来回弹跳的现象。虽然只是进行了一次按键,结果在按键信号 稳定的前后出现了多个脉冲,如图1所示 所示。 稳定的前后出现了多个脉冲,如图 所示。如果将这样的信号直 接送给微处理器扫描采集的话, 接送给微处理器扫描采集的话,将可能把按键稳定前后出现的 脉冲信号当作按键信号, 脉冲信号当作按键信号,这就出现人为的一次按键但微处理器 以为多次按键现象。为了确保按键识别的准确性, 以为多次按键现象。为了确保按键识别的准确性,在按键信号 抖动的情况下不能进入状态输入, 抖动的情况下不能进入状态输入,为此就必须对按键进行消抖 处理,消除抖动时不稳定、随机的电压信号。 处理,消除抖动时不稳定、随机的电压信号。机械式按键的抖 动次数、抖动时间、抖动波形都是随机的。 动次数、抖动时间、抖动波形都是随机的。不同类型的按键其 最长抖动时间也有差别, 最长抖动时间也有差别,抖动时间的长短和按键的机械特性有 一般为5~ 关,一般为 ~10 ms,但是,有些按键的抖动时间可达到 ,但是,有些按键的抖动时间可达到20 ms,甚至更长。所以,在具体设计中要具体分析,根据实际情 ,甚至更长。所以,在具体设计中要具体分析, 况来调整设计。 况来调整设计。
按键消抖
按键开关是电子设备实现人机对话的重要器件 之一。由于大部分按键是机械触点, 之一。由于大部分按键是机械触点,在触点闭 合和断开时都会产生抖动。 合和断开时都会产生抖动。为避免抖动引起误 动作造成系统的不稳定, 动作造成系统的不稳定,就要求消除按键的抖 确保按键每按一次只做一次响应。 动,确保按键每按一次只做一次响应。随着可 编程逻辑器件的综合性能的不断提高, 编程逻辑器件的综合性能的不断提高,它已经 象单片机一样。广泛应用在各种数字逻辑领域。 象单片机一样。广泛应用在各种数字逻辑领域。 用可编程逻辑器件直接获取键盘信息也得到广 泛的应用。 泛的应用。
按键消抖电路的设计
• 按键消抖一般采用硬件和软件消抖两种 方法。在微机系统中一般都采用软件延时 的消抖方法。在用可编程逻辑器件FPGA/ CPLD设计数字系统中,也可以用VHDL语 言设计相应的时序和逻辑电路,对按键信 号进行处理,同样可以达到消抖目的。
• 请打开数电书p178
• 如果按键较多,常用软件方法去抖,即检测出 键闭合后执行一个延时程序,产生5ms~ 10ms的延时,让前沿抖动消失后再一次检测 键的状态,如果仍保持闭合 状态电平,则确认 为真正有键按下。当检测到按键释放后,也 要给5ms~10ms的延时,待后沿抖动消失后 才能转入该键的处理程序。
思考题
无延时的软件消抖(上网搜下资料)
FPGA中用到的消抖ห้องสมุดไป่ตู้参考课本)
vhdl中的按键消抖电路设计原理

按键消抖的关键是提取稳定的低电平(或高电平)状态, 滤除按键稳定前后的抖动脉冲。在用基于VHDL语言的时 序逻辑电路设计按键消抖电路时,可以用一个时钟脉冲信 号对按键状态进行取样,当第一次采样到低电平时,启动 延时电路,延时结束后,再对按键信号进行连续三次取样, 如果三次取样都为低电平,则可以认为按键已经处在稳定 状态,这时输出一个低电平的按键确认信号,如果连续三 次的取样中,至少有一次是高电平,则认为按键仍处在抖 动状态,此时不进行按键确认,按键输出信号为高电平。
相关文档
最新文档