D触发器逻辑功能仿真

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

D 触发器逻辑功能仿真实验

D 触发器是一种边沿触发器,在仿真去搭建如下图所示的实验电路:

双击逻辑分析仪,打开逻辑分析仪面板,设置合适的内部时钟信号,逻辑分析仪的仿真波形如下:

从波形中可以明显的看到:

1、 时钟脉冲上升沿与Q 和Q ’翻转的对应关系

2、 D 触发器的特性方程为:Q (n+1)=D

3、 Q 端输出信号与时钟脉冲信号之间的2分频关系、

观察逻辑指示灯的指示:

1、 当D 为1时

2、当D为0时

逻辑指示灯与逻辑分析仪的结果相对应,D触发器的逻辑功能得到验证。

相关文档
最新文档