实验三 密勒码编译码器实验
3 译码器和编码器的仿真实验报告
实验三译码器与编码器的设计与仿真一、实验内容1.参照芯片74LS138的电路结构,用VHDL语言设计3-8译码器;2.参照芯片74LS148的电路结构,用VHDL语言设计8-3优先编码器。
二、电路功能介绍1.74148:8-3优先编码器(8 to 3 Priority Encoder)用途:将各种输入信号转换成一组二进制代码,使得计算机可以识别这一信号的作用。
键盘里就有大家天天打交道的编码器,当你敲击按键时,被敲击的按键被键盘里的编码器编码成计算机能够识别的ASCII码。
译码器与编码器的功能正好相反。
2.74138:3-8译码器(3 to 8 Demultiplexer),也叫3-8解码器用途:用一组二进制代码来产生各种独立的输出信号,这种输出信号可以用来执行不同的工作。
显示器中的像素点受到译码器的输出控制。
逻辑框图:用逻辑符号(Symbol)来解释该电路输入与输出信号之间的逻辑关系,既省事又直观。
如下图所示。
一、编码器1.VHDL实现library IEEE;use IEEE.std_logic_1164.all;entity pencoder isport ( i7,i6,i5,i4,i3,i2,i1,i0:in STD_LOGIC;a2,a1,a0,idle:out STD_LOGIC);解码信号输出端低电平有效代码输入端使能输入端end pencoder;architecture pencoder_arch of pencoder issignal h:STD_LOGIC_VECTOR(7 downto 0);beginh(7)<=i7;h(6)<=i6 and not i7;h(5)<=i5 and not i6 and not i7;h(4)<=i4 and not i5 and not i6 and not i7;h(3)<=i3 and not i4 and not i5 and not i6 and not i7;h(2)<=i2 and not i3 and not i4 and not i5 and not i6 and not i7;h(1)<=i1 and not i2 and not i3 and not i4 and not i5 and not i6 and not i7;h(0)<=i0 and not i1 and not i2 and not i3 and not i4 and not i5 and not i6 and not i7;idle<=not i0 and not i1 and not i2 and not i3 and not i4 and not i5 and not i6 and not i7;a0<=h(1) or h(3) or h(5) or h(7);a1<=h(2) or h(3) or h(6) or h(7);a2<=h(4) or h(5) or h(6) or h(7);2.波形图:3.逻辑图:4.用途:将各种输入信号转换成一组二进制代码,使得计算机可以识别这一信号的作用。
实验三 3-8译码器的功能测试及仿真
实验三3-8译码器功能测试及仿真一、实验目的1、掌握中规模集成3-8译码器的逻辑功能和使用方法。
2、进一步掌握VHDL语言的设计。
二、预习要求复习有关译码器的原理。
三、实验仪器和设备1.数字电子技术实验台1台2.数字万用表1块3.导线若干4.MUX PLUSII软件5.74LS138集成块若干四、实验原理译码器是一个多输入、多输出的组合逻辑电路。
它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。
译码器在数字系统中有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。
不同的功能可选用不同种类的译码器。
译码器分为通用译码器和显示译码器两大类。
前者又分为变量译码器和代码变换译码器。
1.变量译码器(又称二进制译码器)用以表示输入变量的状态,如2线-4线、3线-8线和4线-16线译码器。
若有n个输入变量,则有2n个不同的组合状态,就有2n个输出端供其使用。
而每一个输出所代表的函数对应于n个输入变量的最小项。
以3线-8线译码器74LS138为例进行分析,下图(a)、(b)分别为其逻辑图及引脚排列。
其中 A2、A1、A0为地址输入端,0Y~7Y为译码输出端,S1、2S、3S为使能端。
下表为74LS138功能表,当S1=1,2S+3S=0时,器件使能,地址码所指定的输出端有信号(为0)输出,其它所有输出端均无信号(全为1)输出。
当S1=0,2S+3S=X时,或 S1=X,2S+3S=1时,译码器被禁止,所有输出同时为1。
3-8线译码器74LS138逻辑图及引脚排列图74LS138功能表二进制译码器实际上也是负脉冲输出的脉冲分配器。
若利用使能端中的一个输入端输入数据信息,器件就成为一个数据分配器(又称多路分配器),如图3-2所示。
若在S1输入端输入数据信息,2S=3S=0,地址码所对应的输出是S1数据信息的反码;若从2S端输入数据信息,令S1=1、3S=0,地址码所对应的输出就是2S端数据信息的原码。
译码器和编码器实验报告
译码器和编码器实验报告一、实验目的。
本实验旨在通过对译码器和编码器的实验操作,加深对数字通信原理中编码解码技术的理解,掌握其工作原理和实际应用。
二、实验原理。
1. 译码器。
译码器是一种将数字信号转换为模拟信号或者模拟信号转换为数字信号的设备。
在数字通信系统中,译码器通常用于将数字信号转换为模拟信号,以便在模拟信道上传输。
在接收端,译码器将模拟信号转换为数字信号,以便进行数字信号处理和解码。
2. 编码器。
编码器是一种将数字信号转换为另一种数字信号的设备。
在数字通信系统中,编码器通常用于将数字信号转换为便于传输和存储的编码形式,以提高传输效率和数据安全性。
三、实验内容。
1. 实验仪器与材料。
本实验使用的仪器包括译码器、编码器、示波器、信号发生器等。
实验材料包括数字信号发生器、示波器连接线等。
2. 实验步骤。
(1)连接实验仪器,将数字信号发生器连接到编码器的输入端,将编码器的输出端连接到译码器的输入端,再将译码器的输出端连接到示波器。
(2)设置实验参数,调节数字信号发生器的频率和幅度,设置编码器和译码器的工作模式和参数。
(3)观察实验现象,通过示波器观察编码器和译码器的输入输出波形,记录实验数据。
(4)分析实验结果,根据实验数据分析编码器和译码器的工作原理和特性,总结实验结果。
四、实验结果与分析。
通过本次实验,我们成功观察到了编码器和译码器的输入输出波形,并记录了相应的实验数据。
通过分析实验结果,我们深入理解了译码器和编码器的工作原理和特性,对数字通信原理有了更深入的认识。
五、实验总结。
本次实验通过实际操作加深了我们对译码器和编码器的理解,提高了我们的实验操作能力和数据分析能力。
译码器和编码器作为数字通信系统中重要的组成部分,对数字信号的处理和传输起着至关重要的作用,我们应进一步深入学习和掌握其原理和应用。
六、实验心得。
通过本次实验,我们不仅学习到了译码器和编码器的工作原理,还提高了实验操作和数据分析的能力。
实验三 3—8译码器
Q0
Q1
Q2
Q3
Q4
Q5
Q6
Q7
Q8
Q9
Q10
Q11
Q12
Q13
Q14
Q15
0
0
0
0
0
0
0
1
0
0
1
0
0
0
1
1
0
1
0
0
0
1
0
1
0
1
1
0
0
1
1
1
1
0
0
0
1
0
0
1
1
0
1
0
1
0
1
1
1
1
0
0
1
1
0
1
1
1
1
0
1
1
1
1
1.实验现象与结果
(1)利用multisim仿真验证3/8译码器
(2)借助指示灯或万用表观测Q0-Q7的状态,记入表5-1中。
图5-2
2、 用两片74LS138组成4-16线译码器
按图5-3接线,利用开关改变输入D0-D3的状态。
图5-3
3.实验设备及材料
1.SAC-DS4数字逻辑电路实验箱1个
2.万用表 1块
3.74LS138 3-8线译码器2片
4.74LS40 双四输入与非门1片
4.实验方法步骤及注意事项
1)根据表5-1,利用开关设置S1、S2、S3、及A2、A1、A0的状态,借助指示灯或万用表观测Q0-Q7的状态,记入表5-1中。
0
1
1
0
1
1
实验三编码、译码、显示电路
实验三编码、译码、显示电路
一、实验目的
1.掌握编码、译码过程及编码器和译码器的工作原理和设计方法
2.熟悉编码器、七段译码器、数码管等集成电路的典型应用
二、实验器材
1.面包板1块
2. BCD码(9~4线)优先编码器74LS147 1块
3.七段译码器 1块
4. 74LS00 1块
5.共阴级数码显示器1块
三、原理图
四、实验过程
1、用EWB软件对以上电路进行仿真
2、对面包上对安装实际电路,要求:按信号的流向进行安装。
先安装编码器,测试其功能,然后再安装与非门,测试其功能。
最后安装译码和显示电路。
五、实验数据
六、实验报告
1.写出实验目的、内容,写出设计过程,画出实验电路图。
2.根据面包板接线结果,写出实验数据。
3.总结编码、译码、显示电路的设计和使用的体会。
实验三 译码器及其相关实验
实验三译码器及其相关实验一、实验目的1、掌握译码器逻辑功能和使用方法2、了解用译码器的用二、实验设备与器件1、+5V直流电源2、双踪示波器3、连续脉冲源4、逻辑电平开关5、逻辑电平显示器6、拨码开关组8、译码显示器9、实验芯片74LS1383—8线译码器 1片74LS00 二输入端四与非门 1片三、实验内容1、完成74LS138译码器功能测试并记录数据(连线图参照教材相关章节连接)2、用74LS138和与非门完成三变量函数 F=X Y Z+X Y Z+ X Y+X Z,画出电路图,记录实验数据。
3、用74LS138实现选择器。
(1)画出电路图(2)输入信号为1KHZ的脉冲信号,由G2A输入,要求分别由Y0、Y3、Y4、Y6输出。
将实验数据填入表中。
(输出的端子用√表示)四、实验预习要求1、复习有关译码器原理。
2、根据实验任务,画出所需的实验线路及记录表格。
五、实验报告1、画出实验要求的波形图,把观察到的波形画在坐标纸上,并标上对应的地址码。
2、画出实验线路的连接图。
3、对实验结果进行分析、讨论。
连接图:实验报告:74LS138是集成3线-8线译码器,在数字系统中应用比较广泛。
74LS138工作原理为:当S1=1,S2+S3=0时,电路完成译码功能,输出低电平有效。
1.按照实验要求,了解译码器构造。
2.根据需求,选择了实验芯片 74LS138×1片3.画出相对应的电路图。
4.按照电路图,先把芯片插入,连接好正负极,严格遵守8负16正这一要求。
5. 完成74LS138译码器功能测试并记录数据。
写出相对应的真值表,然后检查线路。
6.实验箱插上电源,根据真值表的取值,由输出灯是否亮来判断实验是否成功。
7.记录实验结果,实验成功,关闭电源。
8.链接输入信号为1HZ的脉冲信号,由G2A输入。
写出相对应的真值表,然后检查线路。
9.实验箱插上电源,根据真值表的取值,由输出灯是否亮来判断实验是否成功。
10.记录实验结果,实验成功,关闭电源。
实验三 译码器和编码器
实验三 译码器和编码器一、实验目的1. 掌握译码器、编码器的工作原理和特点。
2. 熟悉常用译码器、编码器的逻辑功能和它们的典型应用。
二、预习要求1. 复习有关编码器和译码器的章节;2. 按实验内容的要求,做好实验预习报告,画好实验线路图和记录表格。
三、实验设备与器件1. TDN-DS 数字逻辑电路/数字系统设计教学实验系统。
2. 74LS139、74LS148、74LS248各一片和共阴极数码管一个。
3. 数字万用表,连接导线若干。
四、实验内容及步骤 (一)译码器实验1) 译码器的功能验证。
将二进制3-4线译码器74LS139插入实验系统的IC 空插座中,按图3.1所示连线,分别输入G 、B 、A 信号,观察LED 输出Y 0、Y 1、Y 2、Y 3的状态,并将结果填入表3.1中。
实验分析与结论:2) 译码器的扩展实验,用74LS139双2-4线译码器可接成3-8线译码器。
按图3.2接线,即可完成2-4线译码器的扩展。
拨动K 1、K 2和K 3,验证扩展后的3-8线译码器功能。
表3.1 74LS139 2-4线译码器功能表图表3.274LS139扩展形成的3-8线译码器的功能验证表图3.2 74LS139双2-4线译码器扩展接成3-8线译码器实验分析与结论:3) 译码显示电路实验。
将译码驱动器74LS248和共阴极数码管LC5011-11插入实验箱的空IC 插座中,按图3.3(b)接线。
图3.3(a)为共阴极数码管管脚排列图。
译码显示电路的功能表见表3.3。
表3.3 译码显示电路的功能表(a)(b)图3.3 译码显示电路实验接线图实验分析与结论:(二)编码器实验1)编码器的功能验证。
将8-3线优先编码器74LS148插入IC空插座中,按图3.4接线,其中E1与编码器输入接9位逻辑0-1开关,输出Q C、Q B、Q A接实验箱D1、D2和D3的LED发光二极管。
74LS148优先编码器验证的功能见表3.4。
实验三 译码器及应用
实验三译码器及应用译码器是一种电子设备,其主要作用是将数字信号转换成具有特定意义的输出信号。
译码器通常用于将计算机处理的数字信号转换成可用于控制其他设备或显示输出的信号。
译码器的设计和应用非常广泛,常用于电子产品、自动化系统、遥控器等领域。
译码器的分类根据不同的译码方式和应用领域,译码器可以分为多种类型。
常见的译码器类型有以下几种:1. 数字译码器数字译码器是最基本的译码器类型,其主要作用是将数字信号转换为具有特定含义的输出信号。
数字译码器的输出信号可以控制其他设备、显示器等,实现数字数据的输出和显示。
2. 译码器/驱动器译码器/驱动器是一种将数字信号转换为可驱动其他设备的信号的译码器。
在数字系统中,驱动器通常用于控制驱动LED、数码管等显示设备,以便实现数字数据的可视化显示。
3. 键控译码器键控译码器主要用于接收来自按键的信号,并将其转换成数字信号。
键控译码器的输出可以用于控制呼叫系统、安全系统等。
4. 时钟译码器时钟译码器用于解码来自时钟发生器的信号,将其转为可以驱动其他器件的信号。
时钟译码器主要应用于计数器、计时器、数字钟等领域。
5. 地址译码器地址译码器主要用于将地址数据转换为输出信号,控制各种外围设备的访问。
地址译码器通常被用于处理大规模集成电路和存储器芯片。
其应用领域包括计算机存储器、闪存芯片、EPROM、EEPROM等。
译码器的应用译码器在电子产品、自动化系统、控制技术等领域中有广泛的应用。
1. 电子产品在电子产品中,译码器通常用于控制显示器、呼叫系统、安全系统等设备。
例如,在手持游戏机的控制器中,使用数字译码器将手柄方向键的信号转换成控制游戏的输出信号。
2. 自动化系统在自动化系统中,译码器主要用于控制各种机器、设备等。
例如,在流水线生产中,用译码器控制传送带、钳子等机械臂移动。
3. 控制技术在控制技术中,译码器通常用于转换输入信号,并控制输出信号的根据不同情况进行判断。
例如,在电动车控制系统中,使用键控译码器接收电动车中各部件的信号,并根据输入信号控制发动机或电池等。
实验三 3-8译码器的功能测试及仿真
实验三3-8译码器功能测试及仿真一、实验目的1、掌握中规模集成3-8译码器的逻辑功能和使用方法。
2、进一步掌握VHDL语言的设计。
二、预习要求复习有关译码器的原理。
三、实验仪器和设备1.数字电子技术实验台1台2.数字万用表1块3.导线若干4.MUX PLUSII软件5.74LS138集成块若干四、实验原理译码器是一个多输入、多输出的组合逻辑电路。
它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。
译码器在数字系统中有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。
不同的功能可选用不同种类的译码器。
译码器分为通用译码器和显示译码器两大类。
前者又分为变量译码器和代码变换译码器。
1.变量译码器(又称二进制译码器)用以表示输入变量的状态,如2线-4线、3线-8线和4线-16线译码器。
若有n个输入变量,则有2n个不同的组合状态,就有2n个输出端供其使用。
而每一个输出所代表的函数对应于n个输入变量的最小项。
以3线-8线译码器74LS138为例进行分析,下图(a)、(b)分别为其逻辑图及引脚排列。
其中 A2、A1、A0为地址输入端,0Y~7Y为译码输出端,S1、2S、3S为使能端。
下表为74LS138功能表,当S1=1,2S+3S=0时,器件使能,地址码所指定的输出端有信号(为0)输出,其它所有输出端均无信号(全为1)输出。
当S1=0,2S+3S=X时,或 S1=X,2S+3S=1时,译码器被禁止,所有输出同时为1。
3-8线译码器74LS138逻辑图及引脚排列图74LS138功能表输入输出S12S+3S A2A1A00Y1Y2Y3Y4Y5Y6Y7Y1 0 0 0 0 0 1 1 1 1 1 1 11 0 0 0 1 1 0 1 1 1 1 1 11 0 0 1 0 1 1 0 1 1 1 1 11 0 0 1 1 1 1 1 0 1 1 1 11 0 1 0 0 1 1 1 1 0 1 1 11 0 1 0 1 1 1 1 1 1 0 1 11 0 1 1 0 1 1 1 1 1 1 0 11 0 1 1 1 1 1 1 1 1 1 1 00 ×××× 1 1 1 1 1 1 1 1× 1 ××× 1 1 1 1 1 1 1 1二进制译码器实际上也是负脉冲输出的脉冲分配器。
数字逻辑实验三 译码器
实验三译码器一、实验目的:1.掌握译码器电路的功能、特点及其测试方法。
2.掌握3线—8线译码器的应用方法及测试。
3.组合逻辑电路的设计二、实验仪器、设备、元器件:1.数字电子线路实验箱 1台2.双2-4译码器74LS139芯片 1片3.3-8译码器74LS138芯片 1片7.四2输入与非门74LS32芯片1片8.示波器或万用表9.导线若干三、预习要求:1.预习译码器的基本原理及功能;2.熟悉实验用芯片的引脚排布和功能;四、实验内容和步骤:1. 译码器功能测试:74LS139双2线—4线译码器如图3.1所示。
图3.1(a)为原理图,图3.1(b)为实验测试连线图。
输入端D0、D1接逻辑开关A、B,输出Y0~ Y3接电平指示器。
改变输入信号D0、D1的状态,观察输出,写出Y0~ Y3的数值(表3.1)及其表达式。
图3.1表3.1 功能表Y3 = ___________ Y2 = ______________ Y1 = ____________ Y0 =____________2. 3线--8线译码器的应用:用一片3线—8线译码器74LS138构成一位全减器电路。
全减器真值如表7.5所示,画出电路连线图,并检验其功能。
Di= _______________________ Ci= ___________________3. 请设计一个电路,完成三个开关控制一盏灯的电路4. 请用3线--8线译码器完成第3题5. 现有4台设备,由2台发电机组供电,每台设备用电均为10KW,4台设备的工作情况是:4台设备不可能同时工作,但可能是任意3台、2台同时工作,至少是任意1台进行工作。
若X发电机组功率为10KW,Y发电机组功率为20K W。
试设计一个供电控制电路,以达到节省能源的目的。
实验三 译码器和数据选择器(有数据)
实验三译码器和数据选择器(有数据)一. 实验目的1. 了解译码器和数据选择器的工作原理。
2. 学习译码器和数据选择器的基本使用方法。
二. 实验器材1. 7404 TTL集成电路 1片2. 7447 BCD-7 段译码器 1片3. 74138 3-8 译码器 1片4. 两个按钮开关5. 数字低电平信号发生器6. DSO 数字示波器7. 面包板8. 杜邦线三. 实验原理1. 译码器译码器是将码的形式转换为某个特定的输出形式。
常见的译码器有 BCD-7 段译码器及二进制-8 译码器等。
其中,BCD-7 段译码器是将 BCD 码转换为 7 段管的控制信号;而二进制-8 译码器是将二进制码转换为控制特定逻辑门输出的信号。
2. 数据选择器数据选择器是根据控制信号选取特定的输入数据,并输出到特定位置。
常见的数据选择器有 2-1 数据选择器和 4-1 数据选择器等。
其中,2-1 数据选择器是将两个输入信号中的某一个信号输出给下一级逻辑电路;而4-1 数据选择器则是将四个输入信号中的某一个信号输出给下一级逻辑电路。
1. 搭建 BCD-7 段译码实验电路(1)将 7447 BCD-7 段译码器、两个按钮开关、数字低电平信号发生器、DSO 数字示波器及面包板准备好。
(2)将杜邦线一端插入数字低电平信号发生器的 GND、CLK、DATA 和 LATCH 等接口中,另一端分别插入面包板上的 GND、K1、K2 和 L。
(5)将两个按钮开关用杜邦线与面包板连接起来。
(6)用杜邦线将 G、B、C、Q0-Q7、A、Y1、Y2、Y3、K1、K2、L 等 14 个端口连接在一起。
(7)将数字示波器的探头,接在 7447 BCD-7 段译码器的 A 端口和 GND 端口上。
电路示意图如下:(8)将面包柿子插入电源插头,并打开电源。
(9)按下 K1 或 K2 按钮,观察数字示波器上的输出信号。
按下按钮 K1,显示的是数字 0-5,按下按钮 K2,显示的是数字 6-9。
实验三 译码器、数据选择器及应用
使能
选择
B A X 0 0 1 1 X 0 1 0 1
Y0
Y1
2-4 译码
E
1 0 0 0 0
E
Y2
A B
Y3
实验三 译码器、数据选择器及应用
实验内容
2. 译码器的扩展:将双2-4线译码器74LS139加上门电路,扩展成 3-8线译码器。 实验过程:通过分析真值表分析、设计原理图
根据3-8译码器74138真值表,可以看作由两个2-4译码器组成,并且交替工作,由C的状
•
我们可以利用它实现逻辑函数: 如Y=B ⊙ A= A = A B A B B A B= Y0 Y3 = Y0 Y3 则A、B和Y之间构成了同或门逻辑。
E 0 A B
2-4 译码
Y0
Y3
Y
实验三 译码器、数据选择器及应用
实验原理
下图是74LS153集成块引脚图,内部有2个4选1数据选择器,其真值表为下表。A、B 的状态起着从4路输入数据中选择哪1路输出的作用。E为使能端,低电平有效,E =0时, 数据选择器工作;E =1时,电路被禁止,输出0。A、B地址在集成块中由2个4选1共用, 高位为B,低位为A。 注意:A、B的低、高位。C0~C3可以用脉冲或电平开关模拟。数据输入和选择输入的作 用不同。
实验三 译码器、数据选择器及应用
实验内容
3. 译码器应用:作为逻辑函数产生器。
a) 利用74139译码器实现异或门电路。 b) 利用74139译码器实现3输入多数表决器。
异或门: 多数表决器:
Y A B A B A B A B Y ? Y ?
Y A B C A B C A B C A B C
译码器和编码器实验
实验三译码器和编码器一实验目的1.掌握译码器、编码器的工作原理和特点。
2.熟悉常用译码器、编码器的逻辑功能和它们的典型应用。
二、实验原理和电路按照逻辑功能的不同特点,常把数字电路分两大类:一类叫做组合逻辑电路,另一类称为时序逻辑电路。
组合逻辑电路在任何时刻其输出的稳态值,仅决定于该时刻各个输入信号取值组合的电路。
在这种电路中,输入信号作用以前电路所处的状态对输出信号无影响。
通常,组合逻辑电路由门电路组成。
组合逻辑电路的分析方法:根据逻辑图进行二步工作:a.根据逻辑图,逐级写出函数表达式。
b.进行化简:用公式法、图形法或真值表进行化简、归纳。
组合逻辑电路的设计方法:就是从给定逻辑要求出发,求出逻辑图。
一般分四步进行。
a.分析要求;将问题分析清楚,理清哪些是输入变量,哪些是输出函数。
b.列真值表。
c.进行化简:变量比较少时,用图形法。
变量多时,可用公式化简。
d.画逻辑图:按函数要求画逻辑图。
进行前四步工作,设计已基本完成,但还需选择元件——集成电路,进行实验论证。
值得注意的是,这些步骤并不是固定不变的程序,实际设计时,应根据具体情况和问题难易程度进行取舍。
1.译码器译码器是组合电路的一部分,所谓译码,就是把代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。
译码器分成三类:a.二进制译码器:如中规模2—4线译码器74LS139。
,3—8线译码器74LS138等。
b.二—十进制译码器:实现各种代码之间的转换,如BCD码—十进制译码器74LS145等。
c.显示译码器:用来驱动各种数字显示器,如共阴数码管译码驱动74LS48,(74LS248),共阳数码管译码驱动74LS47(74LS247)等。
2.编码器编码器也是组合电路的一部分。
编码器就是实现编码操作的电路,编码实际上是译码相反的过程。
按照被编码信号的不同特点和要求,编码器也分成三类:a.二进制编码器:如用门电路构成的4—2线,8—3线编码器等。
实验三 译码器
1
0
1
1
1
0
1
1
0
1
1
1
1
1
1
0
1
1
0
1
1
1
1
1
1
1
1
1
1
0
0
×
×
×
×
1
1
1
1
1
1
1
1
×
1
×
×
×
1
1
1
1
1
1
1
1
根据输入地址的不同组合译出唯一地址,故可用作地址译码器。接成多路分配器,可将一个信号源的数据信息传输到不同的地点。
二进制译码器还能方便地实现逻辑函数,如图4-5-2所示,实现的逻辑函数是
图4-5-5 CD4511驱动一位LED数码管
【实验内容和步骤】
1.CD4511的逻辑功能测试
任选实验装置上的一组拨码开关的输出A、B、C、D分别接至显示译码/驱动器CD4511的对应输入口, 、 、 接至三个逻辑开关的输出插口,CD4511的a—g的输出接至数码管的相对应的输入口,接上+5V显示器的电源,然后按功能表4-5-2输入的要求揿动四个数码的增减键(“+”与“-”键)和操作与 、 、 对应的三个逻辑开关,观测拨码盘上的四位数与LED数码管显示的对应数字是否一致,及译码显示是否正常。
教师签名:
图4-5-4为CD4511引脚排列
图4-5-4 CD4511引脚排列
其中D、C、B、A —BCD码输入端
a、b、c、d、e、f、g —译码输出端,输出“1”有效,用来驱动共阴极LED数码管。
—测试输入端, =“0”时,译码输出全为“1”
实验三3-8译码器的功能测试及仿真
实验三3-8译码器的功能测试及仿真实验三3-8译码器功能测试及仿真⼀、实验⽬的1、掌握中规模集成3-8译码器的逻辑功能和使⽤⽅法。
2、进⼀步掌握VHDL语⾔的设计。
⼆、预习要求复习有关译码器的原理。
三、实验仪器和设备1.数字电⼦技术实验台1台2.数字万⽤表1块3.导线若⼲4.MUX PLUSII软件5.74LS138集成块若⼲四、实验原理译码器是⼀个多输⼊、多输出的组合逻辑电路。
它的作⽤是把给定的代码进⾏“翻译”,变成相应的状态,使输出通道中相应的⼀路有信号输出。
译码器在数字系统中有⼴泛的⽤途,不仅⽤于代码的转换、终端的数字显⽰,还⽤于数据分配,存贮器寻址和组合控制信号等。
不同的功能可选⽤不同种类的译码器。
译码器分为通⽤译码器和显⽰译码器两⼤类。
前者⼜分为变量译码器和代码变换译码器。
1.变量译码器(⼜称⼆进制译码器)⽤以表⽰输⼊变量的状态,如2线-4线、3线-8线和4线-16线译码器。
若有n个输⼊变量,则有2n个不同的组合状态,就有2n个输出端供其使⽤。
⽽每⼀个输出所代表的函数对应于n个输⼊变量的最⼩项。
以3线-8线译码器74LS138为例进⾏分析,下图(a)、(b)分别为其逻辑图及引脚排列。
其中 A2、A1、A0为地址输⼊端,0Y~7Y为译码输出端,S1、2S、3S为使能端。
下表为74LS138功能表,当S1=1,2S+3S=0时,器件使能,地址码所指定的输出端有信号(为0)输出,其它所有输出端均⽆信号(全为1)输出。
当S1=0,2S+3S=X时,或 S1=X,2S+3S=1时,译码器被禁⽌,所有输出同时为1。
3-8线译码器74LS138逻辑图及引脚排列图74LS138功能表输⼊输出S12S+3S A2A1A00Y1Y2Y3Y4Y5Y6Y7Y1 0 0 0 0 0 1 1 1 1 1 1 11 0 0 0 1 1 0 1 1 1 1 1 11 0 0 1 0 1 1 0 1 1 1 1 11 0 0 1 1 1 1 1 0 1 1 1 11 0 1 0 0 1 1 1 1 0 1 1 11 0 1 0 1 1 1 1 1 1 0 1 11 0 1 1 0 1 1 1 1 1 1 0 11 0 1 1 1 1 1 1 1 1 1 1 00 ×××× 1 1 1 1 1 1 1 1× 1 ××× 1 1 1 1 1 1 1 1⼆进制译码器实际上也是负脉冲输出的脉冲分配器。
实验三PCM编译码器(波形版)
实验三PCM编译码器(波形版)实验四PCM编译码器⼀、实验原理抽样定理在通信系统、信息传输理论⽅⾯占有⼗分重要的地位。
抽样过程是模拟信号数字化的第⼀步,抽样性能的优劣关系到通信设备整个系统的性能指标。
利⽤抽样脉冲把⼀个连续信号变为离散时间样值的过程称为抽样,抽样后的信号称为脉冲调幅(PAM)信号。
抽样定理指出,⼀个频带受限信号m(t),如果它的最⾼频率为f h,则可以唯⼀地由频率等于或⼤于2f h的样值序列所决定。
在满⾜抽样定理的条件下,抽样信号保留了原信号的全部信息。
并且,从抽样信号中可以⽆失真地恢复出原始信号。
通常将语⾳信号通过⼀个3400 Hz低通滤波器(或通过⼀个300~3400Hz的带通滤波器),限制语⾳信号的最⾼频率为3400Hz,这样可以⽤频率⼤于或等于6800 Hz的样值序列来表⽰。
实际上,设计实现的滤波器特性不可能是理想的,对限制最⾼频率为3400Hz的语⾳信号,通常采⽤8KHz抽样频率。
这样可以留出⼀定的防卫带(1200Hz)。
当抽样频率f s低于2倍语⾳信号的最⾼频率f h,就会出现频谱混迭现象,产⽣混迭噪声,影响恢复出的话⾳质量。
在抽样定理实验中,采⽤标准的8KHz抽样频率,并⽤函数信号发⽣器产⽣⼀个频率为f h的信号来代替实际语⾳信号。
通过改变函数信号发⽣器的频率f h,观察抽样序列和低通滤波器的输出信号,检验抽样定理的正确性。
PCM编译码模块将来⾃⽤户接⼝模块的模拟信号进⾏PCM编译码,该模块采⽤MC145540集成电路完成PCM编译码功能。
该器件具有多种⼯作模式和功能,⼯作前通过显⽰控制模块将其配置成直接PCM模式(直接将PCM码进⾏打包传输),使其具有以下功能:1、对来⾃接⼝模块发⽀路的模拟信号进⾏PCM编码输出。
2、将输⼊的PCM码字进⾏译码(即通话对⽅的PCM码字),并将译码之后的模拟信号送⼊⽤户接⼝模块。
PCM编译码器模块电路与ADPCM编译码器模块电路完全⼀样,由语⾳编译码集成电路U502(MC145540)、运放U501(TL082)、晶振U503(20.48MHz)及相应的跳线开关、电位器组成。
译码器及其应用实验报告范文5
译码器及其应用实验报告范文5 实验三译码器及其应用一、实验目的1、掌握译码器的测试方法。
2、了解中规模集成译码器的功能,管脚分布,掌握其逻辑功能。
3、掌握用译码器构成组合电路的方法。
、学习译码器的扩展。
4二、实验设备及器件1、数字逻辑电路实验板 1块2、74HC138 3-8线译码器 2片3、74HC20 双4输入与非门 1片三、实验原理1、中规模集成译码器74HC13874HC138是集成3线,8线译码器,在数字系统中应用比较广泛。
图3,1是其引脚排列。
其中 A2 、A1 、A0为地址输入端, 0Y, 7Y为译码输出端,S1、2S、3S为使能端。
表3-1为74HC138真值表。
74HC138工作原理为:当S1=1,S2+S3=0时,电路完成译码功能,输出低电平有效。
其中:2、译码器应用因为74HC138 三-八线译码器的输出包括了三变量数字信号的全部八种组合,每一个输出端表示一个最小项,因此可以利用八条输出线组合构成三变量的任意组合电路。
四、实验内容1、译码器74HC138 逻辑功能测试(1)控制端功能测试测试电路如图3-2所示。
按表3-2所示条件输入开关状态。
观察并记录译码器输出状态。
LED指示灯亮为0,灯不亮为1。
测试结果如下:输入输出 S1 ,S2 ,S3 A2 A1 A0 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 1 x x x x x 1 1 1 1 1 1 1 1 1 1 0 x x x 1 1 1 1 1 1 1 1 1 0 1 x x x 1 1 1 1 1 1 1 11 1 1 x x x 1 1 1 1 1 1 1 1(2)逻辑功能测试将译码器使能端 S1、,S2、,S3地址端A2、A1、A0 分别接至逻辑电平开关输出口,八个输出端依次连接在逻辑电平显示器的八个输入口上,拨动逻辑电平开关,按表3, 3逐项测试74HC138的逻辑功能。
逻辑功能测试,结果如下:输入输出 S1 ,S2+,S3 A2 A1 A0 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 1 0 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 1 1 0 1 1 1 1 1 1 1 0 0 1 0 1 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1 1 1 1 0 1 0 0 1 1 1 1 0 1 1 1 1 0 1 0 1 1 1 1 1 1 0 1 1 1 0 1 1 0 1 1 1 1 1 1 0 1 1 0 1 1 1 1 1 1 1 1 1 1 0 0 x x x x 1 1 1 1 11 1 1 x 1 x x x 1 1 1 1 1 1 1 1 当时我A2A1A0的状态是111,老师问我在发光二极管应对应哪个灯亮,我回答是八。
实验三-验证性实验—MSI译码器逻辑功能测试
实验三 验证性实验——MSI 译码器逻辑功能测试一.实验目的1.掌握中规模(MSI)集成译码器的逻辑功能和使用方法; 2.验证3—8线译码器和七段显示译码器的逻辑功能; 3.掌握数码管与译码器配合使用的方法;。
二.实验原理译码器的作用是进行代码间的“翻译”,将具有特定含义的二进制码进行辨别,并转换成控制信号。
译码器可分为通用译码器和显示译码器两大类。
前者又分为变量译码器和代码变换译码器。
l .变量译码器(又称二进制译码器),用以表示输入变量的状态,如2线—4线、3线—8线和4线—16线译码器。
若有n 个输入变量,则有2n 个不同的组合状态,就有2n 个输出端供其使用。
例如,有3个输入变量(或称为地址端),那么就可以有23=8个不同的地址组合,分别为000、001、010、011、100、101、110、111,可以控制8个输出端,而每一个输出所代表的函数对应于n 个输入变量的最小项。
以3线—8线译码器74LSl38为例,图3-1(a)(b) (c)分别为其逻辑图及引脚排列。
其中A 2、A 1、A 0为地址输入端, ̄Y 0~ ̄Y 7为译码输出端,S 1、¯S 2、¯S 3为使能端。
表3-1为74LSl38功能表。
当S 1=1,¯S 2+¯S 3=0时,74LS138工作,地址码所指定的输出端输出0(被选中),其它输出端均输出1(未被选中)。
当S 1=0;¯S 2+¯S 3=×(注:“×”即不论是什么逻辑值的意思。
);或S 1=×,¯S 2+¯S3=1时,译码器被禁止,所有输出同时为l 。
表3-1图3-1 3—8线译码器74LS138逻辑图及引脚排列A 0 A 1 A 2 (a)(b)74LS138译码器也可作为负脉冲输出脉冲分配器使用,只需利用使能端中的一个输入端输入数据信息,器件就成为一个多路分配器,如图3-2所示。
实验三 3-8译码器的设计
实验三3-8译码器的设计
一.实验目的:
1、通过一个简单的3-8译码器的设计,让学生掌握组合逻辑电路的设计方法。
2、初步掌握VHDL语言的常用语句。
3、掌握VHDL语言的基本语句及文本输入的EDA设计方法。
二、设计要求
设计一个3—8译码器使其满足如下真值表:
1.采用原理图输入法利用门电路进行设计并实现仿真、下载。
2.利用VHDL语言输入进行设计并进行仿真。
三、预习要求:
1.熟悉3-8译码器原理
2.根据原理绘制原理图。
3.初步写出VHDL语言程序。
四、实验记录
1.原理图及源程序。
2.仿真波形。
(两种方法)
3.延时分析。
(两种方法)。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
实验三密勒码编译码器实验
3011204173 通信二班刘西瑶
一.实验程序:
(1)实现程序:
module miller(clk,x,y,z);
input clk; //时钟
input x; //输入信号
output y; //密勒码编码输出
output z; //密勒码解码输出
reg y;
wire z;
reg xl; //上一周期输入信号
reg xx;
reg [1:0]yl; //上一周期输出信号
reg [1:0]yp; //当前周期输出信号
reg t; //时钟二分频
initial begin
t=1'b1;
xl=1'b0;
xx=1'b0;
yl=2'b00;
yp=2'b00;
end
always @(posedge clk)
begin
t=~t; //二分频控制
xx<=x; //锁存x
/*
上周期输入xl 当前输入x 上周期输出yl 当前输出yp
0 0 00 11
0 0 11 00
0 1 00 01
0 1 11 10
1 0 01 11
1 0 10 00
1 1 01 10
1 1 10 01
*/
if(t==0) begin
if(xl==1'b0)
begin
if(x==1'b0)
begin
if(yl==2'b00) begin yp<=2'b11;end
else begin yp<=2'b00;end
end
else
begin
if(yl==2'b00) begin yp<=2'b10;end
else begin yp<=2'b01;end
end
end
else
begin
if(x==1'b0)
begin
if(yl==2'b10) begin yp<=2'b11;end
else begin yp<=2'b00;end
end
else
begin
if(yl==2'b10) begin yp<=2'b01;end
else begin yp<=2'b10;end
end
end
xl<=xx;
yl<=yp;
y<=yp[0]; //输出编码第一位
end
else begin
y<=yp[1]; //输出编码第二位
end
end
assign z=(yl[0]==yl[1])?1'b0:1'b1; //解码:输出编码两位不同为1,相同为0
endmodule
(2)测试程序:
`timescale 1ns/1ps
module miller_tb;
reg clk;
reg x;
wire y;
wire z;
miller uut(.clk(clk),.x(x),.y(y),.z(z));
initial begin
clk=1;
x=0; //输入信号
#8 x=1;
#16 x=0;
#8 x=1;
#8 x=0;
#16 x=1;
#16 x=0;
#8 x=1;
#16 x=0;
#8 x=1;
#8 x=0;
#16 x=1;
#16 x=0;
#8 x=1;
end
always #2 clk=~clk; //时钟
endmodule
二.实验结果:
(1)密勒码编码:
密勒码编码规则:1时,在中心翻转;0时,保持不变。
实验图中结果验证正确。
解码后得到上图中的原始码。