EDA综合复习1

合集下载

eda期末考试复习题

eda期末考试复习题

eda期末考试复习题EDA期末考试复习题一、选择题(每题2分,共20分)1. EDA(Electronic Design Automation)是指:A. 电子设计自动化B. 电子数据交换C. 电子文档自动化D. 电子设备自动化2. 在EDA工具中,用于设计和仿真数字逻辑电路的软件是:A. MATLABB. VHDLC. SPICED. AutoCAD3. 下列哪个不是硬件描述语言(HDL)?A. VerilogB. VHDLC. C++D. SystemVerilog4. FPGA(Field-Programmable Gate Array)是一种:A. 可编程逻辑器件B. 微处理器C. 存储器D. 网络设备5. 在VHDL中,以下哪个关键字用于定义实体?A. entityB. architectureC. processD. package6. 以下哪个是模拟电路设计中常用的EDA工具?A. Quartus IIB. ModelSimC. CadenceD. Xilinx ISE7. 以下哪个不是数字电路设计中的基本逻辑门?A. ANDB. ORC. NOTD. XOR8. 在VHDL中,以下哪个是并行赋值语句?A. ifB. caseC. loopD. when9. 在EDA设计流程中,仿真验证的目的是:A. 检查电路是否能正常工作B. 检查电路的物理尺寸C. 检查电路的功耗D. 检查电路的散热性能10. 以下哪个不是EDA设计流程中的步骤?A. 电路设计B. 仿真验证C. 版图设计D. 电路测试二、填空题(每空2分,共20分)11. 在VHDL中,用于定义端口的关键字是________。

12. FPGA的编程方式包括________和________。

13. 一个完整的EDA设计流程通常包括________、________、________、________和________。

14. 在数字电路设计中,________是一种用于描述电路行为的建模方式。

EDA与VHDL复习参考题 (1)

EDA与VHDL复习参考题 (1)

选择题1. 综合是EDA设计流程的关键步骤,在下面对综合的描述中,()是错误的。

A. 综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;B. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;C. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;D. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的)。

2. IP核在EDA技术和开发中具有十分重要的地位,IP分软IP、固IP、硬IP,下列所描述的IP核中,对于硬IP的正确描述为__________。

A.提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路;B.提供设计的最总产品----掩膜;C.以网表文件的形式提交用户,完成了综合的功能块;D.都不是。

3提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为__________。

A .软IP B.固IP C.硬IP D.都不是4 在VHDL程序存盘过程当中,文件名应该是()A. 结构体名B. 程序包名C. 任意D. 实体名5. 大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是____。

A.FPGA是基于乘积项结构的可编程逻辑器件;B. FPGA是全称为复杂可编程逻辑器件;C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置;D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。

6. 规模可编程器件主要有FPGA、CPLD两类,其中CPLD通过_______实现其逻辑功能。

A. 可编程乘积项逻辑B. 查找表(LUT)C. 输入缓冲D. 输出缓冲7.大规模可编程器件主要有CPLD和FPGA两类,下面对FPGA结构与工作原理描述中,正确的是()A FPGA全称为复杂可编程逻辑器件B FPGA是基于乘积项结构的可编程逻辑器件。

EDA考试复习题目全集(1)

EDA考试复习题目全集(1)

《 EDA技术与项目训练》选择题1. 一个项目的输入输出端口是定义在 A 。

A. 实体中B. 结构体中C. 任何位置D. 进程体2. 描述项目具有逻辑功能的是 B 。

A. 实体B. 结构体C. 配置D. 进程3. 关键字ARCHITECTURE定义的是A。

A. 结构体B. 进程C. 实体D. 配置4. MAXPLUSII中编译VHDL源程序时要求 C 。

A.文件名和实体可不同名B.文件名和实体名无关C. 文件名和实体名要相同D. 不确定5. 1987标准的VHDL语言对大小写是 D 。

A. 敏感的B. 只能用小写C. 只能用大写D. 不敏感6. 关于1987标准的VHDL语言中,标识符描述正确的是 A 。

A. 必须以英文字母开头B.可以使用汉字开头C.可以使用数字开头D.任何字符都可以7. 关于1987标准的VHDL语言中,标识符描述正确的是 B 。

A. 下划线可以连用B. 下划线不能连用C. 不能使用下划线D. 可以使用任何字符8. 符合1987VHDL标准的标识符是 A 。

A. A_2B. A+2C. 2AD. 229. 符合1987VHDL标准的标识符是 A 。

A. a_2_3B. a_____2C. 2_2_aD. 2a10. 不符合1987VHDL标准的标识符是 C 。

A. a_1_inB. a_in_2C. 2_aD. asd_111. 不符合1987VHDL标准的标识符是 D 。

A. a2b2B. a1b1C. ad12D. %5012. VHDL语言中变量定义的位置是 D 。

A. 实体中中任何位置B. 实体中特定位置C. 结构体中任何位置D. 结构体中特定位置13. VHDL语言中信号定义的位置是 D 。

A. 实体中任何位置B. 实体中特定位置C. 结构体中任何位置D. 结构体中特定位置14. 变量是局部量可以写在 B 。

A. 实体中B. 进程中C. 线粒体D. 种子体中15. 变量和信号的描述正确的是 A 。

EDA复习资料

EDA复习资料

第1章1.EDA技术:2.①硬件实现:大规模集成电路制造技术、IC版图设计、ASIC测试和封装、FPGA和CPLD编程下载、自动测试)②计算机辅助工程(计算机辅助设计CAD计算机辅助制造CAM计算机辅助测试CAT计算机辅助工程CAE)3.EDA电子设计自动化,SOC单片电子系统,HDL硬件描述语言,SOP标准操作程序,FPGA现场可编程序门阵列,CPLD复杂可编程逻辑器件4.FPGA和CPLD开发效率高,成本低,可编程5.EDA是微电子技术和现代电子设计技术的结合6.EDA发展:①电子设计成果以自主知识产权的方式得以明确表达成为可能②在仿真验证和设计方面都支持标准硬件描述语言的功能强大的EDA软件不断推出③电子技术全方位进入EDA时代7.利用EDA进行电子系统设计的最后目标:完成专用集成电路ASIC或印制电路板的设计和实现8.掩模ASIC分类:门阵列ASIC、标准单元ASIC、全定制ASIC9.FPGA和CPLD直接面向用户,具有极大的灵活通用性,使用方便,硬件测试和实现快捷,开发效率高,成本低。

10.H DL分类:VHDL、Verilog HDL(主流)、System Verilog、System C(未来)11.综合定义:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程12.传统的电子设计技术是自底向上的,特点是首先关注并解决底层硬件的可获得性自顶向下:在整个设计流程中各环节逐步求精的过程,从自然语言说明到HDL的系统行为,从描述系统的分解,RTL模型的建立,门级模型产生到最终的可以物理布线实现的底层电路。

13.自顶向下设计流程;设计说明书→建立HDL行为模型→HDL行为仿真→HDC-RTL级建模→前端功能仿真→逻辑综合→测试向量生成→功能仿真→结构综合→门级时序仿真→硬件测试→设计完成14.E DA仿真:时序仿真、功能仿真15.E da开发流程;原理图/HDL 文本输入→功能仿真→综合→适配→编程下载→硬件测试16.标准单元法必须预建完善的版图单元库,库中包括以物理版图级表达的各种电路元件和电路模块“标准单元”17.常用的HDL仿真器有ModelSim、Active HDL,VCS,NC-Sim18.I P(自主知识产权核)分类:①软IP(用硬件描述语言描述的功能块)②固IP(完成了综合的功能块)③硬IP(提供设计的最终阶段产品:掩模)19.I P内涵:①必须是为了易于重用而按嵌入式应用专门设计的②必须实现IP模块的优化设计20.优化的目标:芯片的面积最小,运算速度最快,功率消耗最低,工艺容差最大21.E DA工具:设计输入编辑器、仿真器、HDL综合器、适配器、下载器22.设计输入:①图形输入(原理图输入、状态图、波形图输入)②HDL文本输入ASIC设计流程:系统规格说明→系统划分→逻辑设计与综合→综合后仿真→版图设计→版图验证→参数提取后仿真→制版和流片→芯片测试。

EDA考试复习试题及答案

EDA考试复习试题及答案

EDA考试复习试题及答案EDA考试复习试题及答案一、选择题:(20分)1.下列是EDA技术应用时涉及的步骤:A.原理图/HDL文本输入;B.适配;C.时序仿真;D.编程下载;E.硬件测试;F.综合请选择合适的项构成基于EDA软件的FPGA/CPLD设计流程:A→___F___→___B__→____C___→D→___E____2.PLD的可编程主要基于A.LUT结构或者B.乘积项结构:请指出下列两种可编程逻辑基于的可编程结构:FPGA基于____A_____CPLD基于____B_____3.在状态机的具体实现时,往往需要针对具体的器件类型来选择合适的状态机编码。

对于A.FPGAB.CPLD两类器件:一位热码状态机编码方式适合于____A____器件;顺序编码状态机编码方式适合于____B____器件;4.下列优化方法中那两种是速度优化方法:____B__、__D__A.资源共享B.流水线C.串行化D.关键路径优化单项选择题:5.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中,___D___是错误的。

A.综合就是将电路的高级语言转化成低级的,可与FPGA/CPLD的基本结构相映射的网表文件;B.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;C.综合可理解为,将软件描述与给定的'硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的。

D.综合是纯软件的转换过程,与器件硬件结构无关;6.嵌套的IF语句,其综合结果可实现___D___。

A.条件相与的逻辑B.条件相或的逻辑C.条件相异或的逻辑D.三态控制电路7.在一个VHDL设计中Idata是一个信号,数据类型为std_logic_vector,试指出下面那个赋值语句是错误的。

DA.idata<=“00001111”;B.idata<=b”0000_1111”;C.idata<=X”AB”;D.idata<=B”21”;8.在VHDL语言中,下列对时钟边沿检测描述中,错误的是__D___。

EDA复习重点

EDA复习重点

l-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? P5什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。

有哪些类型? 答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。

(2)从算法表示转换到寄存器传输级,即从行为域到结构域的综合,即行为综合。

(3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。

(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。

综合在电子设计自动化中的地位是什么? 答:是核心地位(见图1-3)。

综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。

1-5 IP在EDA技术的应用和发展中的意义是什么? P11~12答:IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。

1-6 叙述EDA的FPGA/CPLD设计流程,以及涉及的EDA工具及其在整个流程中的作用设计流程:1.设计输入(原理图/HDL文本编辑);2.综合;3.适配;4.时序仿真与功能仿真;5.编程下载;6.硬件测试。

工具及作用:设计输入编辑器(作用:接受不同的设计输入表达方式,如原理图输入方式、状态图输入方式、波形输入方式以及HDL的文本输入方式。

);HDL 综合器(作用:HDL综合器根据工艺库和约束条件信息,将设计输入编辑器提供的信息转化为目标器件硬件结构细节的信息,并在数字电路设计技术、化简优化算法以及计算机软件等复杂结体进行优化处理);仿真器(作用:行为模型的表达、电子系统的建模、逻辑电路的验证及门级系统的测试);适配器(作用:完成目标系统在器件上的布局和布线);下载器(作用:把设计结果信息下载到对应的实际器件,实现硬件设计)。

EDA技术复习资料(完全版)

EDA技术复习资料(完全版)

EDA技术复习资料(完全版)EDA技术复习资料⼀、填空1、EDA设计流程包括设计准备、设计输⼊、设计处理、和器件编程序四个步骤。

2、EDA的设计验证包括功能仿真、时序仿真和器件测试三个过程。

3、EDA的设计输⼊主要包括⽂本输⼊⽅式、图形输⼊⽅式、和波形输⼊⽅式。

4、⽂本输⼊是指采⽤硬件描述语⾔进⾏电路设计的⽅式。

5、功能仿真实在设计输⼊完成以后,选择具体器件进⾏编译之前进⾏的逻辑功能验证,因此⼜称为前仿真。

6、时序仿真实在选择了具体器件并完成布局、布线之后进⾏的时序关系仿真,因此⼜被称为后仿真或延时仿真。

7、当前最流⾏的并成为IEEE标准的硬件描述语⾔包括VHDL、和VERILOG HDL。

8、EDA⼯具⼤致分为设计输⼊编辑器、仿真器、HDL综合器、适配器(或布局布线器)、以及下载器等五个模块。

9、IEEE于1987年将VHDL采纳为IEEE#1076标准。

10、⽤VHDL语⾔书写的源⽂件。

即是程序⼜是⽂档,即是⼯程技术⼈员之间交换信息的⽂件,⼜可作为合同签约者之间的⽂件。

11、⽤VHDL设计的电路,既可以被⾼层次的系统调⽤,成为系统的⼀部分,也可以作为⼀个电路的功能快独⽴存在和独⽴运⾏。

12、VDHL设计实体的基本结构由库、程序包、实体、结构体和配臵等部分构成。

13、实体和结构体是设计实体的基本组成部分,它们可以构成最基本的VHDL程序。

14、根据VHDL语法规则,在程序中使⽤的⽂字、数据对象、数据类型都需要预先定义。

15、VHDL的实体由实体声明部分和结构体组成。

16、VHDL的实体声明部分制订了设计单元的输⼊输出端⼝或引脚,它是设计实体对外的⼀个通信界⾯,是外界可以看到的部分。

17、VDHL的结构体⽤来描述设计实体的逻辑结构和逻辑功能,它由VHDL语句构成,是外界看不到的部分。

18、在VHDL的端⼝声明语句中,端⼝⽅向包括IN、OUT、INOUT和BUFFER。

19、VHDL的数据型⽂字包括整数⽂字、实数⽂字、以数制基数表⽰的⽂字和物理量⽂字。

EDA复习要点

EDA复习要点

第1章 EDA技术概述1. EDA:EDA(Electronic Design Automation)电子设计自动化,EDA技术依赖于强大的计算机,在EDA工具软件平台上,对以HDL(Hardware Description Language--硬件描述语言)为系统逻辑描述手段完成的设计文件,自动完成逻辑化简、逻辑分割、逻辑综合、结构综合(布局布线),以及逻辑优化和仿真测试等项功能,直至实现既定性能的电子线路系统功能。

2. EDA的设计输入有:图形输入方式:原理图输入,状态图输入;HDL文本输入:VHDL,Verilog3. 常用缩写FPGA(Field Programable Gate Araay)CPLD(Complex Programmable Logic Device)ASIC(Application Specific Interated Circuit)SOC(System on a Chip)SOPC(System-on-a-Programmable-Chip)HDL(Hardware Description Language)IP(Intellectual Property)CAD(Computer Aided Design)CAM(Computer Aided Manufacturing)CAT(Computer Aided Test)CAE(Computer Aided Engineering)CAA(Computer Aided Analysis)4.综合(Synthesis):将用行为和功能层次表达的系统转换成低层次的便于具体实现的模块组合装配过程。

整个综合过程就是将设计者在EDA平台上编辑输入的HDL文本、原理图或状态图形描述,依据给定的硬件结构组件和约束控制条件进行编译、优化、转换和综合,最终获得门级电路甚至更底层的电路描述网表文件。

5.适配:适配器也称结构综合器,它的功能是将由综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件,如JEDEC、Jam格式的文件。

EDA基础知识复习要点

EDA基础知识复习要点

EDA基础知识复习要点EDA(探索性数据分析)是指对数据集进行初步的探索,以了解数据的特征、相互关系和隐藏的模式。

它是数据分析的重要环节,可以帮助我们发现数据中的特殊特征、异常值和缺失值,为后续的建模和决策提供基础。

下面是EDA基础知识的复习要点。

1.数据集的基本情况-数据集的大小和维度:了解数据集包含的样本数量和特征数量。

-数据类型和缺失值:检查每个特征的数据类型并确定是否存在缺失值。

-数据的摘要统计信息:计算每个特征的基本统计指标,如均值、中位数、标准差等。

-数据可视化:使用直方图、箱线图、散点图等可视化工具来展示数据的分布和异常值。

2.数据的清洗和预处理-处理缺失值:根据缺失值的情况选择适当的方法填充或删除缺失值。

-处理重复值:检查是否存在重复的样本或特征,并根据需要删除或合并重复值。

-异常值处理:通过设定阈值或使用统计方法来检测和处理异常值。

-标准化和归一化:对于数据集中的数值型特征,可以进行标准化或归一化处理,使其具有相同的尺度。

3.特征工程-特征选择:根据特征的重要性和相关性选择最相关的特征,减少特征的维度。

-特征构建:使用原始特征衍生出新的特征,例如添加多项式特征、交互特征等。

4.数据探索-变量间的关系:分析变量之间的相关性和因果关系,帮助了解特征之间的影响。

-群组分析:将数据集中的样本划分为不同的组群,发现数据的内在结构和模式。

-关键性因素:识别影响特定结果的重要因素,找到数据集中的关键趋势和影响因素。

5.可视化分析-直方图:显示定量变量的分布情况,帮助了解数据的偏态和尾部情况。

-箱线图:显示定量变量的中位数、上下四分位数和异常值,有助于观察数据的离散情况。

-散点图:显示两个变量之间的关系,帮助检测变量之间的线性关系或异常值。

-折线图:显示变量随时间变化的趋势,用于分析时间序列数据。

6.结果解释和报告-对EDA结果进行总结和解释,包括数据集的特点、重要特征、异常值等。

-以清晰和可视化的方式呈现结果,如使用图表、表格等形式。

EDA复习资料整理版

EDA复习资料整理版

答案由个人整理,难免有错,仅供参考!!!一、单项选择题:上课讲到的其它知识点,如变量与信号的含义;进程的含义;eda开放流程等;有两三道可能有一定难度。

二、名词解析:ASIC:专用集成电路、FPGA:现场可编程门阵列、IP:知识产权核或知识产权模块、JTAG:联合测试行动组、HDL:硬件描述语言、SOPC:可编程片上系统、PCB:(Process Control Block)进程控制块、RTL:寄存器传输级、LPM:可设置模块库、CPLD:复杂可编程逻辑器件、FSM:有限状态机三、简答题:课本第一、二章后的简答题;另要明白自顶向下设计方法的优缺点。

简答题:第一章的:1-2、1-4、1-5以及“要明白自顶向下设计方法的优缺点”有可能会考,已经去除了两道题目。

第二章的:2-2、2-4(已经去除三道题目)PS:以上信息是在EDA课程答疑时,老师透露出来的,没有在的题目肯定不会考。

答案自己在书上找,by xw.四、VHDL程序改错题:主要偏重语法。

五、编程器:组合逻辑电路:38译码和83编码38译码:library ieee;use ieee.std_logic_1164.all;entity DECODER isPORT(A,B,C: IN STD_LOGIC;Y: OUT STD_LOGIC_VECTOR(7 DOWNTO 0));end DECODER;architecture A of DECODER isSIGNAL INDATA :STD_LOGIC_VECTOR(2 DOWNTO 0);BEGININDATA<=C&B&A;PROCESS(INDATA)BEGINCASE INDATA ISWHEN "000"=>Y<="00000001";WHEN "001"=>Y<="00000010";WHEN "010"=>Y<="00000100";WHEN "011"=>Y<="00001000";WHEN "100"=>Y<="00010000";WHEN "101"=>Y<="00100000";WHEN "110"=>Y<="01000000";WHEN "111"=>Y<="10000000";WHEN OTHERS=>Y<="00000000";END CASE;END PROCESS;end A;83编码library ieee;use ieee.std_logic_1164.all;entity encode isport(d: in std_logic_vector(7 downto 0);ein : in std_logic;a0n,a1n,a2n,gsn,eon : out std_logic);end encode;architecture behav of encode issignal q : std_logic_vector(2 downto 0);begina0n<=q(0);a1n<=q(1);a2n<=q(2);process(d)beginif ein='1' thenq<="111";gsn<='1';eon<='1';elsif d(7)='0' thenq<="000";gsn<='0';eon<='1';elsif d(6)='0' thenq<="001";gsn<='0';eon<='1';elsif d(5)='0' thenq<="010";gsn<='0';eon<='1';elsif d(4)='0' thenq<="011";gsn<='0';eon<='1';elsif d(3)='0' thenq<="100";gsn<='0';eon<='1';elsif d(2)='0' thenq<="101";gsn<='0';eon<='1';elsif d(1)='0' thenq<="110";gsn<='0';eon<='1';elsif d(0)='0' thenq<="111";gsn<='0';eon<='1';elsif d="11111111" thenq<="111";gsn<='1';eon<='0';end if;end process;end behav;时序逻辑电路:同步计数器与异步计数器(要明白两种计数器的差异)同步计数器:LIBRARY IEEE;--带时钟使能的同步4位二进制减法计数器;use IEEE.STD_LOGIC_1164.ALL;use ieee.std_logic_unsigned.all;ENTITY count ISPORT(clk,clr,en : IN STD_LOGIC;qa,qb,qc,qd : OUT STD_LOGIC);END count;ARCHITECTURE behav OF count ISSIGNAL count_4 : STD_LOGIC_vector(3 DOWNTO 0);BEGINQa<=count_4(0);Qb<=count_4(1);Qc<=count_4(2);Qd<=count_4(3);PROCESS (clk,clr)BEGINIF(clk'EVENT AND clk ='1') THENIF(clr='1') THENCount_4<="0000";ELSIF(en='1') THENIF(count_4="0000") THENcount_4<="1111";ELSEcount_4<=count_4-'1';END IF;END IF;END IF;END PROCESS;END behav;异步计数器LIBRARY IEEE; --带时钟使能的异步4位二进制加法计数器use IEEE.STD_LOGIC_1164.ALL;use ieee.std_logic_unsigned.all;ENTITY countA ISPORT(clk,clr,en : IN STD_LOGIC;qa,qb,qc,qd : OUT STD_LOGIC);END countA;ARCHITECTURE example OF countA ISSIGNAL count_4 : STD_LOGIC_vector(3 DOWNTO 0);BEGINQa<=count_4(0);Qb<=count_4(1);Qc<=count_4(2);Qd<=count_4(3);PROCESS (clk,clr)BEGINIF(clr='1') THENCount_4<="0000";ELSIF(clk'EVENT AND clk = '1' ) THENIF(en='1') THENIF(count_4="1111") THENcount_4<="0000";ELSEcount_4<=count_4+'1';END IF;END IF;END IF;END PROCESS;END example;:有限状态机:ppt上的两个图,moore和mealy机(要分清两种类型)看ppt,ppt上的应该是mealy机。

EDA复习(1)

EDA复习(1)

一、名词解释1逻辑综合——将电路的高级语言描述转换成低级的,可与FPGA/CPLD或构成ASIC的门阵列基本结构相映射的网表文件的过程。

2. 逻辑适配——将由综合器产生的网表文件针对某一具体的目标器进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、布线与操作等,配置于指定的目标器件中,产生最终的下载文件的过程。

3 时序仿真——将布线器/适配器所产生的VHDL网表文件送到VHDL仿真器中所进行的仿真。

该仿真已将器件特性考虑进去了,因此可以得到精确的时序仿真结果二、填空题1. EDA的中文含义是电子设计自动化,CAD的中文含义是计算机辅助设计,FPGA的中文含义是现场可编程门阵列,CPLD的中文含义是复杂可编程逻辑器件。

2.CPLD在结构上主要分为三个部分,即可编程逻辑宏单元、可编程输入/输出单元和可编程内部连线三个部分。

3.VHDL的数据对象有常量、变量和信号三种。

VHDL程序设计中常用的库有 IEEE库、 STD库、WORK库和VITAL库。

4.VHDL是一种强数据类型语言,强数据类型的具体含义是:(1) 各参量必须具有确定的数据类型 (2)相同的数据类型的量才能进行操作。

5.IEEE_1076标准程序包中定义的四种常用端口模式分别是IN模式、OUT 模式、BUFFER模式和 INOUT 模式。

6 ISP的中文含义是在系统可编程特性,ASIC的中文含义是专用集成电路7常用的硬件描述语言有三种,分别是:(1) VHDL ;(2) Verilog ;(3)ABEL .8 最流行的第三方EDA工具有:逻辑综合性能最好的Synplify,仿真功能最强大的ModelSim9 VHDL的基本标识符就是以字母开头,不连续使用下划线,不以下划线“_”结尾的,由字母、数字以及下划线“_”组成的字符串10两种典型的状态机是摩尔状态机和米立状态机。

状态机的两种基本操作分别是状态机内部状态转换和产生输出信号序列三、问答题1.什么叫EDA技术?使用EDA技术进行电子系统设计有什么优点?答: EDA技术有狭义的EDA技术和广义的EDA技术之分。

EDA复习(

EDA复习(

第一部分基本概念一、填空题型(1)CPLD是复杂的可编程逻辑器件的缩写。

(2)FPGA是现场可编程门阵列的缩写。

(3)CPLD的基本结构看成由可编程逻辑宏单元、可编程I/O控制模块和可编程内部连线等三部分组成。

(4)图形文件的扩展名是GDF ,仿真通道文件的扩展名是SCF,波形文件的扩展名是WDF,使用VHDL语言,文本设计文件的扩展名是.VHD 。

(5)基于可编程器件EDA技术主要包括四大要素,分别为大规模可编程器件、硬件描述语言、软件开发系统、实验开发系统。

(6)MAX+PLUSII支持的设计输入方法有图形输入,波形输入,文本输入。

(7)元件例化语句的作用:层次设计,由元件声明和元件例化两部分组成。

(8)EDA数字系统工程设计流程包括:设计准备、设计输入、设计实现、器件编程与配置、设计验证。

(9)CPLD中的逻辑单元是大单元,采用集总总线互连方式;FPGA的逻辑单元是小单元,采用分段式互连方式。

(10) 结构体包括三种描述方法:结构体的行为描述、结构体的数据流描述、结构体的结构化描述。

(11)硬件描述语言HDL给数字系统的设计带来了更新的设计方法和理念,产生了目前最常用的自顶向下的设计方法。

(12)变量赋值语句的语法格式:目标变量名:=表达式,信号赋值语句的语法格式:目标信号名<= 表达式。

(13) 下列标准数据类型各值的含义:‘0’_强0__、‘1’_强1_、‘Z’高阻态、‘L’_弱0__、‘H’__弱1__、‘-’_忽略___。

(14) CPLD是基于乘积项的可编程结构,即由可编程的与阵列和固定的或阵列来完成功能。

而FPGA采用查找表LUT结构的可编程结构。

(15)硬件描述语言(HDL) 是EDA技术的重要组成部分,是电子系统硬件行为描述、结构描述、数据流描述的语言。

它的种类很多,如VHDL 、Verilog HDL 、AHDL 。

(16)VHDL的基本描述语句包括一系列顺序语句和并行语句两大基本描述语句。

EDA技术复习资料(完全版)

EDA技术复习资料(完全版)

EDA技术复习资料一、填空1、EDA设计流程包括设计准备、设计输入、设计处理、和器件编程序四个步骤。

2、EDA的设计验证包括功能仿真、时序仿真和器件测试三个过程。

3、EDA的设计输入主要包括文本输入方式、图形输入方式、和波形输入方式。

4、文本输入是指采用硬件描述语言进行电路设计的方式。

5、功能仿真实在设计输入完成以后,选择具体器件进行编译之前进行的逻辑功能验证,因此又称为前仿真。

6、时序仿真实在选择了具体器件并完成布局、布线之后进行的时序关系仿真,因此又被称为后仿真或延时仿真。

7、当前最流行的并成为IEEE标准的硬件描述语言包括VHDL、和VERILOG HDL。

8、EDA工具大致分为设计输入编辑器、仿真器、HDL综合器、适配器(或布局布线器)、以及下载器等五个模块。

9、IEEE于1987年将VHDL采纳为IEEE#1076标准。

10、用VHDL语言书写的源文件。

即是程序又是文档,即是工程技术人员之间交换信息的文件,又可作为合同签约者之间的文件。

11、用VHDL设计的电路,既可以被高层次的系统调用,成为系统的一部分,也可以作为一个电路的功能快独立存在和独立运行。

12、VDHL设计实体的基本结构由库、程序包、实体、结构体和配臵等部分构成。

13、实体和结构体是设计实体的基本组成部分,它们可以构成最基本的VHDL程序。

14、根据VHDL语法规则,在程序中使用的文字、数据对象、数据类型都需要预先定义。

15、VHDL的实体由实体声明部分和结构体组成。

16、VHDL的实体声明部分制订了设计单元的输入输出端口或引脚,它是设计实体对外的一个通信界面,是外界可以看到的部分。

17、VDHL的结构体用来描述设计实体的逻辑结构和逻辑功能,它由VHDL语句构成,是外界看不到的部分。

18、在VHDL的端口声明语句中,端口方向包括IN、OUT、INOUT和BUFFER。

19、VHDL的数据型文字包括整数文字、实数文字、以数制基数表示的文字和物理量文字。

EDA考试复习题及答案

EDA考试复习题及答案

EDA考试复习题及答案EDA考试复习题及答案EDA考试即将开启序幕,不知道做为考生的你准备好了吗?在此店铺收集了一些复习题,供大家练习之用。

一、填空题(本大题共10小题,每空1分,共20 分)1.一般把EDA技术的发展分为MOS时代、MOS时代和ASIC三个阶段。

2.EDA设计流程包括设计输入、设计实现、实际设计检验和下载编程四个步骤。

3.EDA设计输入主要包括图形输入、HDL文本输入和状态机输入。

4.时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的`时序关系仿真,因此又称为功能仿真。

5.VHDL的数据对象包括变量、常量和信号,它们是用来存放各种类型数据的容器。

6.图形文件设计结束后一定要通过仿真,检查设计文件是否正确。

7.以EDA方式设计实现的电路设计文件,最终可以编程下到FPGA 和CPLD 芯片中,完成硬件设计和验证。

8.MAX+PLUS的文本文件类型是(后缀名).VHD。

9.在PC上利用VHDL进行项目设计,不允许在根目录下进行,必须在根目录为设计建立一个工程目录。

10.VHDL源程序的文件名应与实体名相同,否则无法通过编译。

二、选择题:(本大题共5小题,每小题3分,共15 分)。

11. 在EDA工具中,能完成在目标系统器件上布局布线软件称为(C )A.仿真器B.综合器C.适配器D.下载器12. 在执行MAX+PLUSⅡ的(d )命令,可以精确分析设计电路输入与输出波形间的延时量。

A .Create default symbol B. SimulatorC. CompilerD.Timing Analyzer13.VHDL常用的库是(A )A. IEEEB.STDC. WORKD. PACKAGE14.下面既是并行语句又是串行语句的是( C )A.变量赋值B.信号赋值C.PROCESS语句D.WHEN…ELSE语句15.在VHDL中,用语句(D )表示clock的下降沿。

EDA复习题(含标准答案)

EDA复习题(含标准答案)

1.可编程逻辑器件在现代电子设计中越来越重要,请问:你所知道的可编程逻辑器件有哪些?目前最常用的两种器件是什么?其结构特征如何?答:按可编程逻辑器件的发展,有简单PLD器件(包括PLA、PAL、GAL、CPLD、FPGA 等)和复杂PLD器件两大类。

目前最常用的两种复杂PLD器件是CPLD和FPGA。

CPLD 即复杂可编程逻辑器件,其结构是基于ROM的乘积项的可编程结构,而FPGA 是现场可编程门阵列器件,其结构基于可编程的查找表。

2.简述FPGA等可编程逻辑器件设计流程答:FPGA等可编程逻辑器件的设计流程即现代EDA设计的流程,主要包括设计输入、逻辑与结构综合、时序与功能仿真、编程下载、硬件测试等步骤。

(或绘流程图说明)3.一个设计实体由哪几个基本部分组成?它们的作用如何?答:(1)库与程序包部分:使实体所用资源可见;(2)实体部分:设计实体的外部特征描述;(3)结构体部分:设计实体的内部电路结构或功能描述。

4.进程语句是如何启动的?答:进程由敏感信号列表中的敏感信号的变化启动。

有两种格式:一种是 PROCESS(敏感信号表)IS,一种是PROCESS W AIT UNTILL敏感信号5.过程与函数的区别体现在哪些方面?答:相同点:过程与函数都属于子程序,;都需要先定义后使用;都允许调用;都可以重载。

但也有不同:(1)过程调用时作为一个独立的语句出现,函数调用时只能作为一个语句元素出现;(2)函数调用的结果是返回一个函数值,过程调用的结果是执行过程体中的顺序语句。

6.过程可以定义在一个VHDL程序的那些位置?函数可以定义在一个VHDL程序的那些位置?7.VHDL是强类型语言还是弱类型语言?若数据类型不一致能否进行数据操作?如能,如何实现?答:强类型语言,即只有同类型的数据能够直接进行数据操作。

若数据类型不一致不能进行直接数据ﻭ操作,但能够通过类型转换函数等方法转换为同类型数据后进行操作。

8. 有限状态机适用于什么数字系统的设计?有何优点?答:有限状态机适用于具有顺序控制特征的数字系统设计,一般作为系统的控制部分。

EDA复习——精选推荐

EDA复习——精选推荐

EDA复习《EDA技术》复习⼀、课程的基本概念●名词解释:EDA:电⼦设计⾃动化 HDL:硬件描述语⾔VHDL:超⾼速集成电路硬件描述语⾔ CPLD:复杂可编程逻辑器件FPGA:现场可编程门阵列 IP:知识产权核●HDL是EDA技术的重要组成部分,是电⼦系统硬件⾏为描述、结构描述、数据流描述的语⾔,它的种类很多,HDL有:VHDL、Verilog HDL、ABEL、AHDL、SystemVerilog、SystemC。

●EDA开发流程:设计输⼊、综合、适配、仿真/下载。

其中设计输⼊有:原理图输⼊、硬件描述语⾔输⼊和波形输⼊三种。

●⽂件管理:⼯程的后缀.qpf。

原理图后缀.bdfVHDL程序后缀.vhd 波形⽂件后缀.vwf⼆、结构及结构的内容和作⽤VHDL程序基本结构:Library(库及程序包)、Entity(实体区)、Architecture(结构体区)。

端⼝模式:in;out;inout;buffer。

数据类型:bit、bit_vector、std_logic、std_logic_vector;integer、real。

标识符:取名规则有由字母、数字以及下划线组成;必须以字母开头,不分⼤⼩写;不能⽤下划线结尾,下划线不能连⽤;不能⽤VHDL的保留字即程序本⾝带有的关键字,即是在程序中会变蓝⾊的字;●结构体:是⽤来描述输出与输⼊之间的逻辑关系,即器件的内部功能。

数据对象:常数constant、变量variable、信号signal。

(1) 三种说明语句的格式是⼀样的。

信号赋值<=; 变量⽤:=。

(2) signal在architecture与begin间说明,variable在process与begin内。

(3) signal是全局量,variable是局部量。

(4) signal有延迟,优先执⾏最后命令,variable⽆延迟,执⾏每个命令;各种语句:并⾏语句(when-else、with--select、process等)顺序语句(if、case--when、loop、Wait--until等)。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

3-8译码器:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY DECODER38_A ISPORT(A2,A1,A0:IN STD_LOGIC;S3,S2,S1:IN STD_LOGIC;Y:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END ENTITY DECODER38_A;ARCHITECTURE ONE OF DECODER38_A ISBEGINY<="11111111" WHEN S1='0' OR S2='1' OR S3='1'ELSE "11111110" WHEN A2='0' AND A1='0' AND A0='0'ELSE "11111101" WHEN A2='0' AND A1='0' AND A0='1'ELSE "11111011" WHEN A2='0' AND A1='1' AND A0='0'ELSE "11110111" WHEN A2='0' AND A1='1' AND A0='1'ELSE "11101111" WHEN A2='1' AND A1='0' AND A0='0'ELSE "11011111" WHEN A2='1' AND A1='0' AND A0='1'ELSE "10111111" WHEN A2='1' AND A1='1' AND A0='0'ELSE "01111111" ;END ARCHITECTURE ONE;七段显示器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY DISPL Y_DECODER ISPORT(A3,A2,A1,A0:IN STD_LOGIC;DOUT:OUT STD_LOGIC_VECTOR(6 DOWNTO 0));END ENTITY DISPL Y_DECODER;ARCHITECTURE DOUBT OF DISPL Y_DECODER ISBEGINDOUT<="1000000" WHEN A3='0' AND A2='0' AND A1='0' AND A0='0' ELSE "1111001" WHEN A3='0' AND A2='0' AND A1='0' AND A0='1'ELSE "0100100" WHEN A3='0' AND A2='0' AND A1='1' AND A0='0'ELSE "0110000" WHEN A3='0' AND A2='0' AND A1='1' AND A0='1'ELSE "0011001" WHEN A3='0' AND A2='1' AND A1='0' AND A0='0'ELSE "0010010" WHEN A3='0' AND A2='1' AND A1='0' AND A0='1'ELSE "0000010" WHEN A3='0' AND A2='1' AND A1='1' AND A0='0'ELSE "1111000" WHEN A3='0' AND A2='1' AND A1='1' AND A0='1'ELSE "0000000" WHEN A3='1' AND A2='0' AND A1='0' AND A0='0'ELSE "0010000" WHEN A3='1' AND A2='0' AND A1='0' AND A0='1'ELSE "1111111";END ARCHITECTURE DOUBT;D触发器(<同步清零,低电平有效>,<异步置数,高电平有效>)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY DFF2 ISPORT(CLK:IN STD_LOGIC;D:IN STD_LOGIC;Clr:IN STD_LOGIC;Set:IN STD_LOGIC;Q: OUT STD_LOGIC);END DFF2;ARCHITECTURE bhv OF DFF2 ISBEGINPROCESS(CLK,Clr,Set)BEGINIF Set='1' THEN q<='1';ELSE IF CLK'EVENT AND CLK='1'THENIF Clr='0' THEN q<='0';ELSE Q<=D;END IF;END IF;END IF;END PROCESS;END bhv;JK触发器异步清零(高电平有效)同步置数(低电平有效):LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY J_KFF ISPORT(CLK:IN STD_LOGIC;J,K,RD,SD:IN STD_LOGIC;Q,QN:OUT STD_LOGIC);END J_KFF;ARCHITECTURE SPRINT OF J_KFF ISSIGNAL Q_TEMP:STD_LOGIC:='0';SIGNAL JK:STD_LOGIC_VECTOR(1 DOWNTO 0);BEGINJK<=J&K;PROCESS(RD,SD,CLK,J,K)BEGINIF RD='1' THEN Q_TEMP<='0';ELSE IF CLK'EVENT AND CLK='0' THENIF SD='0' THEN Q_TEMP<='1';ELSECASE JK ISWHEN "00"=>Q_TEMP<=Q_TEMP;WHEN "01"=>Q_TEMP<='0';WHEN "10"=>Q_TEMP<='1';WHEN "11"=>Q_TEMP<=NOT Q_TEMP;WHEN OTHERS=>Q_TEMP<='X';END CASE;END IF;END IF;END IF;Q<=Q_TEMP;QN<=NOT Q_TEMP;END PROCESS;END SPRINT;76进制BCD码计数器:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CDU_76 ISPORT (CLK:IN STD_LOGIC;Q2,Q1:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));END CDU_76;ARCHITECTURE DT OF CDU_76 ISSIGNAL COUT2,COUT1:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(CLK)BEGINIF (CLK'EVENT AND CLK='1')THENIF ((COUT2=7) AND (COUT1=5)) THEN COUT2<="0000";COUT1<="0000";ELSE IF (COUT1=9) THEN COUT2<=COUT2+1; COUT1<="0000";ELSE COUT2<=COUT2;COUT1<=COUT1+1;END IF;END IF;END IF;END PROCESS;Q2<=COUT2;Q1<=COUT1;END DT;156进制BCD码计数器:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CDU_156 ISPORT(CLK:IN STD_LOGIC;Q3,Q2,Q1:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));END CDU_156;ARCHITECTURE IDT OF CDU_156 ISSIGNAL COUT3,COUT2,COUT1:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(CLK)BEGINIF(CLK'EVENT AND CLK='1')THENIF(COUT3=1 AND COUT2=5 AND COUT1=5)THEN COUT3<="0000";COUT2<="0000";COUT1<="0000";ELSE IF (COUT2/=9 AND COUT1=9)THEN COUT2<=COUT2+1;COUT1<="0000";ELSE IF(COUT2=9 AND COUT1=9)THEN COUT3<=COUT3+1;COUT2<="0000";COUT1<="0000";ELSE COUT3<=COUT3;COUT2<=COUT2;COUT1<=COUT1+1;END IF;END IF;END IF;END IF;END PROCESS;Q3<=COUT3;Q2<=COUT2;Q1<=COUT1;END IDT;1)十进制计数器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT10 ISPORT(CLK,RD,LD,EP,ET:IN STD_LOGIC;Q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);COUT:OUT STD_LOGIC);END CNT10;ARCHITECTURE ST OF CNT10 ISBEGINPROCESS(CLK,RD,LD,EP,ET)VARIABLE QI:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGINIF RD='0' THEN QI:=(OTHERS=>'0');ELSE IF CLK'EVENT AND CLK='1' THENIF LD='0' THEN QI:="0110";ELSE IF ((EP AND ET)='1') THENIF QI<9 THEN QI:=QI+1;COUT<='0';ELSE QI:=(OTHERS=>'0');COUT<='1';END IF;END IF;END IF;END IF;END IF;Q<=QI;END PROCESS;END ST;12位右移移位寄存器(上升沿触发,最高位补零,最低位串行输出):LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY SHFRT ISPORT(CLK,LOAD:IN STD_LOGIC;DIN:IN STD_LOGIC_VECTOR(11 DOWNTO 0);QB:OUT STD_LOGIC);END SHFRT;ARCHITECTURE EDT OF SHFRT ISBEGINPROCESS(CLK,LOAD)V ARIABLE REG12:STD_LOGIC_VECTOR(11 DOWNTO 0);BEGINIF CLK'EVENT AND CLK='1' THENIF LOAD='0' THEN REG12:=DIN;ELSE REG12(10 DOWNTO 0):=REG12(11 DOWNTO 1);REG12(11):='0';END IF;END IF;QB<=REG12(0);END PROCESS;END EDT;8位左移寄存器(下降沿触发,最低位补零,8位并行输出):LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY SHFRT1 ISPORT(CLK,LOAD:IN STD_LOGIC;DIN:IN STD_LOGIC_VECTOR(7 DOWNTO 0);QB:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END SHFRT1;ARCHITECTURE SPRINT OF SHFRT1 ISBEGINPROCESS(CLK,LOAD)V ARIABLE REG8:STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINIF CLK'EVENT AND CLK='0' THENIF LOAD='0' THEN REG8:=DIN;ELSE REG8(7 DOWNTO 1):=REG8(6 DOWNTO 0);REG8(0):='1';END IF;END IF;QB<=REG8;END PROCESS;END SPRINT;9位循环左移移位寄存器(下降沿触发,9位并行输出):LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY SHFRT2 ISPORT(CLK,LOAD:IN STD_LOGIC;DIN:IN STD_LOGIC_VECTOR(8 DOWNTO 0);QB: OUT STD_LOGIC_VECTOR(8 DOWNTO 0));END SHFRT2;ARCHITECTURE GB OF SHFRT2 ISBEGINPROCESS(CLK,LOAD)V ARIABLE REG9:STD_LOGIC_VECTOR(8 DOWNTO 0);V ARIABLE DIL:STD_LOGIC;BEGINIF CLK'EVENT AND CLK='0' THENIF LOAD='0' THEN REG9:=DIN;ELSE DIL:=REG9(8);REG9(8 DOWNTO 1):=REG9(7 DOWNTO 0);REG9(0):=DIL;END IF;END IF;QB<=REG9;END PROCESS;END GB;。

相关文档
最新文档