广东工业大学-电子技术实践报告-汽车尾灯.
汽车尾灯报告
![汽车尾灯报告](https://img.taocdn.com/s3/m/51a93e26793e0912a21614791711cc7931b77893.png)
汽车尾灯报告2篇汽车尾灯报告(一)尾灯作为汽车的重要组成部分,不仅具有装饰作用,更是保障行车安全的重要元素之一。
一款高质量的尾灯系统能够提供清晰明亮的信号,让后车驾驶员可以及时发现和判断前车的行驶状况,减少交通事故的发生。
在这篇报告中,将介绍两款热门的汽车尾灯系统。
第一款尾灯系统来自汽车制造商A公司。
这款尾灯系统采用了先进的LED技术,具备高亮度和节能的特点。
通过利用LED的低功耗和高效能,该款尾灯系统能够在节省能源的同时提供明亮的光芒。
与传统的灯泡相比,LED不仅寿命更长,还具有更好的抗震性能,有效提升了尾灯的可靠性和耐久性。
此外,A公司的这款尾灯系统还采用了动态照明功能,在刹车时能够提供更亮的光线,增加了行车安全性。
第二款尾灯系统则来自汽车制造商B公司。
该款尾灯系统同样采用了LED技术,但与A公司不同的是,B公司的设计更注重尾灯的装饰效果。
该系统不仅提供了清晰明亮的信号,还通过独特的设计和灯光效果,塑造了独特的车尾形象。
例如,当汽车行驶时,尾灯会自动显示出流线型的动态效果,使汽车更具时尚感和运动性。
此外,B公司的这款尾灯系统还具有自动调节亮度的功能,根据外界环境光线的变化自动调整尾灯的明暗程度,增加了夜间行车的可见性。
总的来说,这两款尾灯系统都具有独特的特点和优势。
A公司的尾灯系统注重功能和实用性,能够提供清晰明亮的信号并提升行车安全;而B公司的尾灯系统则更注重外观设计和装饰效果,能够塑造独特的车尾形象。
无论是哪一款尾灯系统,都体现了汽车制造商对于行车安全和驾驶体验的关注。
希望随着科技的不断进步,汽车尾灯系统能够更加智能化和多样化,为驾驶员提供更加安全、舒适和愉悦的驾驶体验。
汽车尾灯报告(二)汽车尾灯作为行车安全的重要组成部分,一直以来都备受关注。
随着科技的发展,汽车尾灯系统也在不断地创新和改进。
以下将介绍两款新颖的尾灯设计。
第一款尾灯采用了激光投影技术。
这种技术能够将指定的图案投影到道路上,在夜间行驶时,后车驾驶员能够清楚地看到前车所投射的图案,从而更加准确地判断前车的行驶状况。
汽车尾灯控制器实训报告
![汽车尾灯控制器实训报告](https://img.taocdn.com/s3/m/43d102b76394dd88d0d233d4b14e852458fb390f.png)
一、实训目的1. 理解汽车尾灯控制器的基本原理和组成;2. 掌握汽车尾灯控制器的调试方法;3. 培养学生动手实践能力和团队合作精神。
二、实训内容1. 汽车尾灯控制器原理分析;2. 汽车尾灯控制器电路设计;3. 汽车尾灯控制器调试与测试。
三、实训过程1. 汽车尾灯控制器原理分析汽车尾灯控制器是汽车电子控制系统的重要组成部分,其主要功能是控制汽车尾灯的点亮、熄灭和闪烁,以提醒后方车辆和行人注意。
汽车尾灯控制器通常由以下几部分组成:(1)输入信号:包括转向信号、制动信号、倒车信号等;(2)控制电路:负责接收输入信号,根据不同的信号产生相应的控制信号;(3)执行电路:根据控制信号控制尾灯的点亮、熄灭和闪烁。
2. 汽车尾灯控制器电路设计本次实训采用以下电路设计方案:(1)输入信号处理电路:将转向信号、制动信号、倒车信号等输入信号进行处理,确保信号的稳定性和可靠性;(2)控制电路:采用单片机作为控制核心,通过编写程序实现对输入信号的判断和处理,产生相应的控制信号;(3)执行电路:采用发光二极管作为执行元件,通过控制信号的输入实现尾灯的点亮、熄灭和闪烁。
3. 汽车尾灯控制器调试与测试(1)调试环境:搭建汽车尾灯控制器电路,连接好各个元器件,确保电路连接正确;(2)软件编程:编写单片机程序,实现输入信号的处理、控制信号的生成和执行电路的控制;(3)测试:进行功能测试,包括转向测试、制动测试、倒车测试等,确保汽车尾灯控制器正常工作。
四、实训结果与分析1. 汽车尾灯控制器功能实现经过调试和测试,汽车尾灯控制器成功实现了以下功能:(1)转向信号:当汽车右转时,右侧尾灯依次点亮;当汽车左转时,左侧尾灯依次点亮;(2)制动信号:当汽车制动时,所有尾灯同时闪烁;(3)倒车信号:当汽车倒车时,所有尾灯依次点亮;(4)正常行驶:当汽车正常行驶时,所有尾灯熄灭。
2. 实训结果分析(1)实训过程中,学生掌握了汽车尾灯控制器的基本原理和组成,提高了动手实践能力;(2)通过编写程序和调试,学生熟悉了单片机编程和电路调试方法,提高了团队合作精神;(3)实训过程中,学生遇到了一些问题,如电路连接错误、程序编写错误等,通过查阅资料和讨论,最终解决了问题,提高了问题解决能力。
数电汽车尾灯控制电路实验报告
![数电汽车尾灯控制电路实验报告](https://img.taocdn.com/s3/m/5b90960eeffdc8d376eeaeaad1f34693daef10a0.png)
数字电路汽车尾灯控制电路实验报告1. 引言1.1 实验背景汽车尾灯是汽车中重要的安全设备之一,它在夜间或恶劣天气条件下提供后方车辆提示作用,确保行车安全。
掌握数字电路汽车尾灯控制电路的原理和实验方法对于电子工程专业的学生来说至关重要。
1.2 实验目的本实验的目的是通过设计和实现数字电路汽车尾灯控制电路,加深对数电原理的理解,并训练学生的创新思维和动手能力。
2. 设计方案2.1 设计思路本实验中,我们将使用数字逻辑门和时序控制电路来实现汽车尾灯的功能。
通过在适当的时刻点控制LED的亮灭状态,可以实现不同的尾灯显示模式,如刹车灯、示宽灯等。
2.2 实验材料和器件•Arduino开发板•逻辑门集成电路(如74LS08、74LS32等)•LED发光二极管•连接线等2.3 实验步骤1.按照电路图连接电路,将Arduino开发板与逻辑门集成电路相连。
2.根据实验要求,在Arduino开发板上编写程序,通过逻辑门控制LED的亮灭状态。
3.将LED与逻辑门集成电路连接,实现汽车尾灯的显示效果。
4.调试和验证电路的功能,确保尾灯控制电路正常工作。
3. 实验结果与分析3.1 实验过程我们按照上述设计方案进行实验,并在Arduino开发板上编写了相应的程序。
经过调试和验证,我们成功实现了数电汽车尾灯控制电路的功能。
3.2 实验结果我们实现了以下几种尾灯显示模式: 1. 刹车灯:当车辆刹车时,尾灯会快速闪烁。
2. 示宽灯:当车辆转向时,尾灯会交替闪烁。
3. 倒车灯:当车辆倒车时,尾灯会亮起。
4. 位置灯:车辆启动后,尾灯会持续亮起。
3.3 结果分析通过以上实验结果可以看出,我们成功实现了数电汽车尾灯控制电路的功能。
该电路能够根据车辆行驶状态控制尾灯的亮灭状态,达到提醒后方车辆的目的。
4. 实验总结与展望4.1 实验总结通过本实验,我们对数字电路汽车尾灯控制电路有了更深入的了解,掌握了设计和实现该电路的方法和技巧。
同时,我们还培养了动手能力和创新思维,提高了对数字电路原理的理解。
汽车尾灯控制电路实训报告
![汽车尾灯控制电路实训报告](https://img.taocdn.com/s3/m/913f1178168884868762d62d.png)
汽车尾灯控制电路实训报告一、设计内容及要求利用中、小规模集成电路芯片7400、7404、74138、7476、7486和其它器件实现对汽车尾灯显示的控制功能。
电路组成框图如图1所示。
(也可以使用单片机系统设计)图1汽车尾灯控制电路框图二、要求:一、1、课程设计工作量:1周内完成对汽车尾灯控制器的设计、仿真、装配与调试。
2、技术要求:设汽车尾部左右两侧各有3个指示灯(用发光管模拟),要求是:错误!未找到引用源。
汽车正常行驶时,尾灯全部熄灭。
错误!未找到引用源。
当汽车右转弯时,右侧3个指示灯按右循顺序点亮。
错误!未找到引用源。
当汽车左转弯时,左侧3个指示灯按左循顺序点亮。
错误!未找到引用源。
临时刹车时,所有指示灯同时闪烁。
错误!未找到引用源。
选择电路方案,完成对确定方案电路的设计。
计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。
制作实际运行装置。
二、电路的工作原理三、 系统方案(1)列出尾灯与汽车运行状态表 S1=1 表示右转弯。
开关控制 运行状态左尾灯 右尾灯 S1 S0 D1D2D3D4D5D60 0 正常行驶 灯全灭1 1 临时刹车 所有灯以一定的频率闪烁 01右转弯 灯全灭循环亮灭S0=1 表示左转弯。
表2-1分析 1)灯需要在不同的情况下出现以下三种情况,全灭,闪烁,循环亮灭。
可以利用计数器实现产生循环脉冲信号或者利用数据选择器对需要的信号进行选通来达到预期的实验目的。
2)脉冲信号的产生。
考虑利用555定时器构成分频电路,来得到需要的频率的脉冲信号。
(2)设计总体框图。
由于汽车左右转弯时,3个灯循环点亮,所以用三进制计数器控制译码器电路循序输出低电平,从而控制尾灯按要求点亮。
由此得出在每种运行状态下,各种指示灯与各种给定的条件下的关系,即逻辑功能表如下表2-2所示。
表2-2 开关控制 三进制计数器 六个指示灯0 0× × 0 0 0 0 0 011 0 左转弯 循环亮灭 灯全灭0 1 不定 1 0 不定 11不定0 1 0 1 0 0 0 0 1 01 0 0 0 0 0 0 11 0 0 0 0 0 1 0 0 00 1 0 1 0 0 0 01 0 1 0 0 0 0 01 1 ××CP CP CP CP CP CP(3)设计单元电路三进制计数器可由2个JK触发器74LS76构成,或者利用74LS290构成三进制计数器,这个设计比较简单。
汽车尾灯实验报告
![汽车尾灯实验报告](https://img.taocdn.com/s3/m/0d91765169eae009581becb1.png)
汽车尾灯控制电路设计要求:假设汽车尾部左右两次各4个指示灯1.汽车正常运行时指示灯全灭。
2.右转弯时,右侧4个指示灯从左按右循环顺序点亮;3.左转弯时,左侧4个指示灯从右按左循环顺序点亮;4.临时刹车时所有指示灯同时亮。
实现要求:1.画出实现电路原理图2.用MULTISIM仿真验证。
电路方案设计此电路由脉冲发生器、74ls161计数器、74ls138译码器、若干开关和若干逻辑门组成。
根据电路要求可以知道,有两个开关分别控制汽车左转,右转,正常行驶以及临时刹车时的尾灯显示状态,因此可以通过两个开关的4种组合(00,01,10,11)来分别控制这4中情况,然后通过这四种情况的组合,控制74ls161计数器,使之进行计数,通过对74ls161计数器的输出值的选择,得到相应的组合。
再用74ls161输出的组合控制74ls138译码器进行译码,通过挑选相应的译码值,对尾灯进行控制。
所用芯片管脚图,状态表:74ls138真值表74ls138管脚图74ls161工作表74ls161管脚图下面是我们设计出来的两种方案:方案一:首先,将74ls161计数器连接为工作状态,并且让它处于M=16的计数状态。
然后选取计数为1 5 9 13时灯亮,其输出为(0001,0101,1001,1101),分别使这4种情况下灯泡亮,可以通过分别将这4种情况中的低电平运用非门转化为高电平,再用与门进行约束实现。
这样,我们就可以通过控制74ls161的工作状态来控制灯泡了。
首先,当两个开关都打开时,两个输入均为高电平,通过与非门可以将其从其他三种情况中区分出来(两个输入均为1时输出为0)。
当两者都打开时,可以控制计数器一直清零。
这时计数器会一直清零,就不会有我们想要的1 5 9 13这样的输出,灯泡就不会亮。
然后当其中一个开关闭合时,即左转或者右转,我们挑左转进行分析。
当输入为(1 0)或者(0 1)时,通过与非门后其结果为1。
然后和清零控制端进行与门连接,其结果为清零控制端结果。
汽车尾灯实训总结报告
![汽车尾灯实训总结报告](https://img.taocdn.com/s3/m/317083aa9f3143323968011ca300a6c30c22f10f.png)
一、实训背景随着汽车工业的快速发展,汽车尾灯作为汽车重要的安全配置,其设计、制造和维修技术也越来越受到重视。
为了提高我们的专业技能,学校特组织了汽车尾灯实训课程。
本次实训旨在让我们深入了解汽车尾灯的结构、工作原理、故障诊断与维修方法,为今后从事汽车维修工作打下坚实基础。
二、实训内容1. 汽车尾灯基础知识实训开始,我们首先学习了汽车尾灯的分类、结构、工作原理等基础知识。
通过讲解,我们了解到汽车尾灯主要包括转向灯、刹车灯、倒车灯、雾灯等,它们分别起到提示、警示、辅助照明等作用。
2. 汽车尾灯的拆装与调试实训过程中,我们亲自动手拆装了多种汽车尾灯,熟悉了各种车型的尾灯拆装步骤。
同时,我们还学习了如何调整尾灯的亮度、角度等参数,确保尾灯在各种天气条件下都能发挥出最佳效果。
3. 汽车尾灯故障诊断与维修实训重点讲解了汽车尾灯常见故障的诊断与维修方法。
通过实际操作,我们学会了如何判断尾灯线路故障、灯泡故障、灯座故障等,并掌握了相应的维修技巧。
4. 汽车尾灯维修工具与设备的使用实训期间,我们熟悉了各种汽车尾灯维修工具与设备的使用方法。
如:万用表、电笔、试灯、电烙铁、螺丝刀等,这些工具和设备在汽车尾灯维修过程中发挥着重要作用。
三、实训收获1. 提高了专业素养通过本次实训,我们对汽车尾灯有了更深入的了解,掌握了汽车尾灯的拆装、调试、故障诊断与维修方法,提高了我们的专业素养。
2. 增强了动手能力实训过程中,我们亲自动手拆装、调试汽车尾灯,锻炼了我们的动手能力,为今后从事汽车维修工作打下了基础。
3. 拓宽了知识面实训课程涵盖了汽车尾灯的各个方面,使我们拓宽了知识面,为今后从事汽车维修工作积累了丰富的实践经验。
4. 培养了团队协作精神在实训过程中,我们分工合作,共同完成各项任务,培养了团队协作精神。
四、实训体会1. 理论与实践相结合本次实训充分体现了理论与实践相结合的教学理念,使我们能够在实践中巩固理论知识,提高专业技能。
电子技术课程设计汽车尾灯控制电路
![电子技术课程设计汽车尾灯控制电路](https://img.taocdn.com/s3/m/30b045c89b89680203d8255d.png)
电子技术课程设计——汽车尾灯控制电路学院专业、班级姓名学号指导老师汽车尾灯控制电路一、 设计任务与要求(1) 内容:用6只发光二极管模拟6盏汽车尾灯(汽车尾部左、右各3盏),用两个开关作为转弯控制信号(一个开关控制右转弯,另一个控制左转弯)。
(2) 要求:当汽车往前行驶(此时两个都未接通),6盏全灭。
当汽车转弯时,若右转弯(即右转开关接通),右边3盏尾灯从左到右顺序亮灭,左边3盏全灭;若左转弯(即左转开关接通),左边3盏尾灯从右到左顺序亮灭,右边3盏全灭。
当左右两个开关同时接通时,6盏尾灯同时明、暗闪烁。
二、 总体框图时钟脉冲是一个激励信号,给左右两个灯控制模块脉冲。
多路选择器是选择它的有用输出,在这个实验中,它有2个输入,3个输出当转到left开关时,输出选lfen。
当转到right开关时,输出选rten.当两个都不开时,输出选lR,它是一个清零端。
左右边控制模块是控制它的输出,使它们的输出依次进行。
灯是起到亮灭的作用。
三、选择器件(1)CK起到了给左右两个模块一个脉冲。
(2)CTRL在这里是主控模块,主要是选择作用,它的输入是两个开关,输出主要选择哪个开关起到作用。
(3)LEFTP是一个左灯控制模块,是一个沿时作用,它使3个输出灯依次亮灭。
(4)RIGHTP是一个右灯控制模块,也起延时作用,当脉冲到来时,RIGHTEN为高电平时,输出的三个灯从左到右依次亮灭。
四、功能模块1、(1)时钟脉冲的VHDL语言library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity ck isport(clk:in std_logic;cp:out std_logic);end ck;architecture a of ck issignal cnter:std_logic_vector(7 downto 0);beginprocess(clk)beginif clk'event and clk='1'thencnter<=cnter+1;end if;end process;cp<=cnter(3);end a;(2)时钟脉冲的生成符号CK的功能就是起激励信号的作用,它给左右两灯的控制模块一序列脉冲。
汽车尾灯控制电路实验报告
![汽车尾灯控制电路实验报告](https://img.taocdn.com/s3/m/066ae2c558f5f61fb7366687.png)
1.设计要求假设汽车尾部左右两侧各有3个指示灯,可用实验箱上的电平指示二极管模拟。
(1)汽车正常运行时,指示灯全灭;(2)右转弯时,右侧三个指示灯按右循环顺序点亮;(3)左转弯时左侧三个指示灯按左循环顺序点亮;(4)临时刹车时所有指示灯同时闪烁。
2.设计过程(1)列出尾灯与汽车运行状态表,如表1-1所示表1-1 尾灯与汽车运行状态表(2)设计总体框图由于汽车左右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。
由此得出在每种运行状态下,各个指示灯与各给定条件(S1,S0,CP,Q1,Q0)的关系,即逻辑功能表如表1-2所示(表中0表示灯灭状态,1表示灯亮状态),由表1-2可得出总体框图,如图1-1所示。
图1-1 汽车尾灯控制电路总框图表1-2 控制电路的逻辑功能表(3)设计单元电路三进制计数器电路。
由双J-K触发器74LS76构成,可根据表1-2进行设计。
汽车尾灯控制电路。
其显示驱动电路由6个发光二极管和6个反相器构成。
译码电路由3-8译码器74LS138和6个与非门构成。
74LS138的三个输入端A2,A1,A0分别接S1,Q1,Q0,而Q1Q0是三进制计数器的输出端。
当S1=0时,使能信号A=G=1,计数器的状态位00,01,10时,74LS138对应的输出端Y0’,Y1’,Y2’依次为0有效(Y3’,Y4’,Y5’信号为1无效),即反相器GATE1-GATE3的输出端也依次为0,故指示灯D1-D2-D3按顺序点亮示意汽车右转弯。
若上述条件不变,而S1=1,则74LS138对应的输出端Y4’,Y5’,Y6’依次为0有效,即反相器GATE4-GATE6的输出端依次为0,故指示灯D4-D5-D6按顺序点亮,示意汽车左转弯。
当G=0,A=1时,74LS138的输出端全为1,GATE6-GATE1的输出端也全为1,指示灯全灭;当G=0.A=CP时,指示灯随CP的频率闪烁。
汽车尾灯实验报告
![汽车尾灯实验报告](https://img.taocdn.com/s3/m/2566bdc86429647d27284b73f242336c1eb930fe.png)
汽车尾灯实验报告汽车尾灯实验报告引言:汽车尾灯作为车辆的重要安全设备之一,在夜间行驶和恶劣天气条件下起着关键的作用。
本次实验旨在研究不同类型的汽车尾灯对行车安全的影响,以及尾灯设计的优化方向。
实验一:传统尾灯 vs LED尾灯在第一组实验中,我们对比了传统尾灯和LED尾灯在不同距离下的可见性。
实验使用了标准的测距仪和相机来记录实验结果。
实验结果显示,LED尾灯在远距离下具有更好的可见性。
其高亮度和快速响应时间使得其他车辆能够更早地察觉到后方车辆的存在,从而减少追尾事故的发生概率。
此外,LED尾灯的色彩鲜艳,使得车辆更易于辨认,进一步提高了行车安全性。
实验二:不同颜色尾灯的可见性在第二组实验中,我们研究了不同颜色尾灯对行车安全的影响。
实验设置了红色、黄色和白色三种不同颜色的尾灯,并记录了它们在不同环境光照条件下的可见性。
实验结果表明,红色尾灯在各种环境光照下都具有最佳的可见性。
红色光波长较长,能够更好地穿透雾霾和雨雪等恶劣天气,使得后方车辆更容易辨认。
黄色尾灯在白天具有较好的可见性,但在夜间相对较弱。
白色尾灯在夜间可见性较好,但在强光照射下容易产生眩光,影响其他车辆的驾驶安全。
实验三:动态尾灯 vs 静态尾灯在第三组实验中,我们研究了动态尾灯和静态尾灯对其他车辆驾驶员的注意力吸引程度。
实验设置了不同频率闪烁的动态尾灯和静态尾灯,并记录了其他车辆驾驶员的反应时间和注意力集中度。
实验结果显示,动态尾灯能够更快地引起其他车辆驾驶员的注意。
特别是在高速行驶时,动态尾灯的闪烁频率能够更好地吸引驾驶员的目光,减少驾驶疲劳和分神驾驶的发生。
然而,过于频繁或过于明亮的闪烁可能会对其他驾驶员产生干扰,因此动态尾灯的设计需要平衡注意力吸引和驾驶安全之间的关系。
结论:通过本次实验,我们得出了一些关于汽车尾灯设计的结论。
LED尾灯具有更好的可见性和辨识度,值得推广和应用。
红色尾灯在各种条件下都具有最佳的可见性,是最理想的选择。
电子技术课程设计—汽车尾灯
![电子技术课程设计—汽车尾灯](https://img.taocdn.com/s3/m/bcaa45b7f242336c1fb95e43.png)
电子技术课程设计—汽车尾灯Final revision by standardization team on December 10, 2020.课程设计报告设计题目:汽车尾灯控制电路的设计与实现班级:计算机学号:姓名:指导教师:设计时间:摘要进行本次课程设计主要有两个目的,一是对数字逻辑这门课程的理论知识进行一次系统的梳理;二是锻炼自己将理论应用于实践的能力。
针对以上目的,就要求做到,通过分析实际的需求提炼出相应的理论模型,进而再进行电路的设计,在之后的实际电路实现的过程中,还可以根据实际的需要对电路做出一些改进。
本课题设计一个汽车尾灯的控制电路。
汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)。
使用555定时器发出秒脉冲,74LS161计数器和74LS138以及其他逻辑门实现控制个驱动功能,实现基本要求和扩展,即汽车正常行驶时指示灯不亮;右转弯时右侧3个指示灯按右循环顺序点亮,左侧指示灯全灭;左转弯时左侧3个指示灯按左循环顺序点亮,右侧指示灯全灭;汽车临时刹车和倒车时指示灯闪烁;右转弯刹车时右侧灯顺序循环点亮,左侧灯全亮;左转弯刹车时左侧灯顺序循环点亮,右侧灯全亮以及用数码管显示各个状态等。
关键词:计数器,译码器,555定时器,逻辑门等目录摘要 (2)第1章概述 (4)第2章课程设计任务及要求 (5)设计任务 (5)设计要求 (5)第3章系统设计 (7)方案论证 (7)系统设计 (7)结构框图及说明 (7)系统原理图及工作原理 (8)单元电路设计 (9)单元电路工作原理 (9)元件参数选择 (12)第4章软件仿真 (13)仿真电路图 (13)仿真过程 (13)仿真结果 (14)第5章安装调试 (20)安装调试过程 (20)故障分析 (20)第6章结论 (21)第7章使用仪器设备清单 (21)参考文献 (21)收获、体会和建议 (22)第1章概述随着现代科技和社会经济的发展,汽车已经逐步被广泛应用于人们的生产和生活。
汽车尾灯实验报告
![汽车尾灯实验报告](https://img.taocdn.com/s3/m/fbe07c8451e2524de518964bcf84b9d529ea2c0c.png)
数字逻辑与数字系统课程设计课程设计作为实践教学的一个重要环节, 对提高创新精神和实践能力、发展个性具在重要作用。
除了必要的验证性实践以训练实验能力和实验结果整理的能力外, 安排综合性课程设计对于提高学生全面应用本课程知识进行分析问题和解决问题的能力具有重要意义。
本章给出了一些经典的数字逻辑与数字系统课程设计题目, 部分题目给出了功能框图及简要分析。
3.1课程设计教学大纲课程设计作为数字逻辑与数字系统课程的重要组成部分, 目的是使学生进一步理解课程内容, 基本掌握数字系统设计和调试的方法, 增加集成电路应用知识, 培养学生实际动手能力以分析、解决问题的能力。
3.1.1课程设计目的和意义按照本学科教学培养计划要求, 在学完专业基础课数字逻辑与数字系统课程后, 应进行课程设计, 其目的是使学生更好地巩固和加深对基础知识的理解, 学会设计中小型数字系统的方法, 独立完成调试过程, 增强学生理论联系实际的能力, 提高学生电路分析和设计能力。
通过实践教学引导学生在指导下有所创新, 为专业课的学习和日后工程实践奠定基础。
3.1.2课程设计基本要求1.教学基本要求要求学生独立完成选题设计, 掌握数字系统设计方法;完成系统的仿真、装配及调试, 掌握数字系统的仿真与调试技术;在课程设计中要注重培养工程质量意识, 并写出课程设计报告。
教师应事先准备好课程任务书、指导学生查阅有关资料, 安排适当的时间进行答疑, 帮助学生解决课程设计过程中的问题。
2.能力培养要求1)通过查阅手册和有关文献资料, 培养学生独立分析和解决实际问题的能力。
2)通过实际电路方案的分析比较、设计计算、元件选取、仿真、安装调试等环节, 掌握简单实用电路的分析方法和工程设计方法。
3)掌握常用仪器设备的使用方法, 学会简单的实验调试, 提高动手能力。
4)综合应用课程中学到的理论知识去独立完成一个设计任务。
5)培养严肃认真的工作作风和严谨的科学态度。
3课程设计报告要求1)课程设计报告要给出结构框图, 对总体设计思想进行阐述, 并给出每个单元逻辑电路且论述其工作原理, 文字说明部分要求内容完整, 言简意赅, 书写工整。
最新汽车尾灯控制电路实验报告(新)
![最新汽车尾灯控制电路实验报告(新)](https://img.taocdn.com/s3/m/8fa645316f1aff00bfd51e9b.png)
汽车尾灯控制电路实验报告(新)《数字电子技术》课程设计报告汽车尾灯控制器学生姓名:金绍康李珺凯汪涛方封淋梁宏棒潘强华指导教师:曹喜珠所在系:电子工程系所学专业:电子信息工程年级: 2013级2015 年 6 月摘要该课程设计主要介绍了利用数字电路知识来完成汽车尾灯控制电路设计的方法。
本设计主要解决如何用数字逻辑电路控制汽车尾灯的左转、右转、刹车等功能。
通过设计汽车尾灯显示控制电路,能很好的综合运用我们所学到数字电子技术基础和模拟电子技术基础知识,它的主要特点是电路简单易懂,防干扰能力强。
本设计主要由五部分组成,包括开关控制电路、信号发生电路、译码控制电路、计数电路、显示驱动电路,通过尾灯的亮灭情况可以清楚的告知行人它将要发生的动态变化,从而避免了交通事故的发生。
【关键词】数字电路尾灯设计目录第一章组员信息及分工 (3)第二章设计目的 (3)第三章设计任务 (3)第四章设计思路 (3)4.1 时钟信号源CLK设计 (3)4.2 主电路设计分析 (3)第五章主要芯片功能介绍 (5)5.1 55 5定时器 (5)5.1.1 555定时器的电路结构与功能 (5)5.1.2 定时器芯片的引脚功能 (7)5.2 74LS138译码器 (7)5.3 74LS161计数器 (8)5.4 基本逻辑门芯片 (9)5.5 数码管的显示 (9)第六章电路设计框图 (10)第七章电路仿真图 (10)7.1 左右转弯仿真电路 (11)7.2 汽车刹车控制电路 (11)7.3 总体电路 (12)第八章课程设计体会 (13)参考文献 (14)附件 (15)第一章、设计目的1.了解汽车尾灯控制电路的工作原理;2.熟悉单片机与常用芯片的使用,掌握时序逻辑电路和组合逻辑电路的分析方法,培养设计能力。
第二章、设计任务1.假设汽车尾部左右两侧各有3个指示灯(用发光二极管代替),应使指示灯达到三个要求:汽车正常运行时指示灯全灭;右转弯时,右侧三个指示灯按右循环顺序点亮;左转弯时,左侧三个指示灯按左循环顺序点亮;临时刹车时所有指示灯同时闪烁。
汽车尾灯课程设计报告
![汽车尾灯课程设计报告](https://img.taocdn.com/s3/m/b079c978ff4733687e21af45b307e87101f6f8cc.png)
汽车尾灯课程设计报告
随着汽车行业的不断发展,汽车尾灯作为汽车的重要组成部分,也在不断地进行着创新和改进。
因此,本次课程设计的目的就是为了让学生们更加深入地了解汽车尾灯的设计原理和制作过程,从而提高他们的设计能力和实践能力。
我们需要了解汽车尾灯的基本原理。
汽车尾灯主要由灯罩、灯泡、反光板、导线等组成。
其中,灯泡是发光的核心部分,反光板则起到反射光线的作用,导线则将电能传输到灯泡中。
在设计汽车尾灯时,我们需要考虑到灯光的亮度、颜色、形状等因素,以及灯光的反射和折射等光学原理。
我们需要了解汽车尾灯的制作过程。
在制作汽车尾灯时,我们需要先进行设计和模型制作,然后进行灯罩的制作和灯泡的安装,最后进行电路的连接和测试。
在制作过程中,我们需要注意到灯罩的材质和颜色的选择,以及灯泡的功率和亮度的调节等因素。
我们需要进行实践操作,让学生们亲自动手制作汽车尾灯。
在实践操作中,我们可以让学生们进行灯罩的制作和灯泡的安装,以及电路的连接和测试等步骤。
通过实践操作,学生们可以更加深入地了解汽车尾灯的制作过程和原理,从而提高他们的实践能力和创新能力。
本次课程设计旨在让学生们更加深入地了解汽车尾灯的设计原理和
制作过程,从而提高他们的设计能力和实践能力。
通过本次课程设计,我们相信学生们可以更加熟练地掌握汽车尾灯的制作技术,为未来的汽车行业发展做出更大的贡献。
电子技术课程设计-汽车尾灯课程设计报告
![电子技术课程设计-汽车尾灯课程设计报告](https://img.taocdn.com/s3/m/9cf5bd593b3567ec102d8ac1.png)
课程设计说明书课程设计名称:电子技术课程设计题目:汽车尾灯电路设计学生姓名:专业:电气工程与自动化学号: 312008********* 指导教师:赵梅日期:2010年 6月 18成绩课程设计作为数字电子技术和模拟电子技术课程的重要组成部分,目的是一方面使我们能够进一步理解课程内容,基本掌握数字系统设计和调试的方法,增加集成电路应用知识,培养我们的实际动手能力以及分析、解决问题的能力。
本文介绍了一种通过TTL系列产品设计模拟汽车尾灯工作情况电路的方法。
主要阐述了如何通过555系列来制作脉冲产生器,如何利用J-K触发器改制三进制的计数器和译码器的使用等一系列方法。
实验通过发光二极管模拟汽车尾灯来实现了汽车在行驶时候的四种情况:正常行驶,左拐弯,右拐弯,临时刹车。
关键字:汽车尾灯,脉冲,计数器,译码器,行驶情况AbstractCurriculum design as a simulation of digital electronic technology and electronic technology an important component of the course, on the one hand, the purpose of enabling us to further understanding of course content, the basic digital system design and debugging methods, applications of integrated circuits to increase knowledge, foster the ability of our hands as well as analysis, problem-solving abilities.This article describes a series of product design through simulation TTL auto taillight circuit methods work. Mainly on how to produce 555 series pulse generator, how to make use of JK flip-flop ternary system decoder of the counters and the use of a range of methods. Experimental simulation of vehicle through the light-emitting diode taillights to achieve a moving car when the four cases: the normal traffic, left turn, right turn, temporary brake. Keywords: auto lamps, pulse, counters, decoders, traffic situation.目录1 前言 (1)2总体方案设计 (2)2.1 方案论证 (2)2.2 方案选择 (2)3 单元模块模块设计 (5)3.1 三进制计数器电路 (5)3.2 时钟脉冲电路 (5)3.3 汽车尾灯电路 (6)3.4 开关控制电路 (8)3.5 尾灯状态显示电路的设计 (9)4 元器件选择和参数计算 (10)4.1 秒脉冲电路部分 (10)4.2 三进制计数器电路部分 (11)5 电路实验仿真和测试 (13)6设计总结 (14)7 参考文献 (15)附录:电路原理图 (16)1 前言1.1序言汽车尾灯控制电路是数字电路在交通自动控制电路中的典型应用,此系统基本上是模拟汽车运行中的实际情况设计的汽车尾灯,一般都有左、右各三个灯。
汽车尾灯控制器设计实习报告.
![汽车尾灯控制器设计实习报告.](https://img.taocdn.com/s3/m/77d31f17581b6bd97f19ea5d.png)
计算机与信息工程系《模拟电子技术》课程设计报告专业计算机科学与技术班级**二班学号B11111111姓名陈强军报告完成日期2013年01月06日指导教师秦**评语:成绩:批阅教师签名:批阅时间:汽车尾灯控制器设计摘要随着经济的发展,汽车越来越被人们所需要,而由此也引发了一系列问题。
比如,因为汽车突然转向所引发的车祸经常出现。
如果汽车转弯可以通过尾灯的状态变化来确定,就可以提示司机、行人朋友们车子正在转弯,可以在很大程度上避免车祸的发生。
本次实习设计一个汽车尾灯控制电路,控制汽车尾部左右两侧各有的3个指示灯,在汽车转弯时相应的灯亮,给出指示。
并结合硬件描述语言ANSI(或DIN和开发工具Multisim对控制电路进行编译、逻辑综合、波形仿真和编程下载等设计。
通过逻辑设计这些实际操作,在进一步强化电路设计能力的同时,也进一步地掌握Multisim软件的开发流程和使用方法等。
关键词:汽车尾灯控制,ANSI,Multisim,编译,仿真Automobile Tail Light Controller DesignABSTRACTThis internship a car tail lights control circuit design,control of automobile tail about some3lights on both sides,in the car when they turn the lights,the instructions are bined with hardware description language ANSI(or DINand development tools Multisim to compile the control circuit,logic synthesis,waveform simulation and programming downloads,etc.Through the logic design the practical operation,in further strengthen the ability of circuit design,and further to Multisim software development process and method of use,etc.Keywords:car tail lights control circuit design,ANSI,Multisim, compile,simulation目录前言 (1第一章任务分析 (21.1课题选择 (21.2设计条件 (21.3设目计的 (2第二章功能描述 (32.1汽车尾灯显示状态与汽车运行状况的关系 (32.2汽车尾灯控制器描述 (3第三章电路设计 (53.1模式控制电路 (53.3译码与显示驱动电路 (7第四章仿真模拟 (94.1模式控制电路的仿真与测试 (94.2三进制计数器的仿真与测试 (154.3译码及尾灯状态限制、驱动电路的仿真与测试 (164.4完整仿真电路的测试 (16结论 (21谢辞 (22参考文献 (23附录 (24前言本课题要求设计一个汽车尾灯的控制电路。
数字逻辑汽车尾灯控制器电子技术实习报告
![数字逻辑汽车尾灯控制器电子技术实习报告](https://img.taocdn.com/s3/m/c02edd0f0066f5335b812113.png)
第一章设计任务及要求1,设计任务本课题要求设计一个汽车尾灯的控制电路。
该电路是用于反映汽车在运行时的状态。
汽车尾灯控制电路是很常用的工作电路,在日常的生活中有着很广泛的应用,汽车尾部左右两侧各有3个指示灯。
汽车行驶时,会出现正常行驶、左转弯、右转弯、刹车四种情况。
针对这四种情况可以设计出汽车尾灯的控制电路来表示这四种状态。
2,设计要求设计一个汽车尾灯控制器,实现对汽车尾灯显示状态的控制。
在汽车尾部左右两侧各有3个指示灯,根据汽车的运行情况,指示灯具有4种不同的显示模式:1,汽车正向行驶时,左右两侧的指示灯全部处于熄灭状态;2,汽车右转弯行驶时,右侧的3个指示灯按右循环顺序点亮;3,汽车左转弯行驶时,左侧的3个指示灯按左循环顺序点亮;4,汽车临时刹车时,左右两侧的指示灯同时处于闪烁状态。
第二章设计方案汽车尾灯控制电路主要由模式控制电路,三进制计数器,译码与显示驱动电路和尾灯状态显示四部分构成。
实验要求实现正常行驶、左转、右转、刹车这四种状态下汽车尾灯的显示情况。
我们可以用6个LED显示灯来模拟汽车的尾灯,左边三个,右边三个。
当汽车正常行驶,在两侧的LED灯全部熄灭;转向时,汽车对应一侧的灯循环点亮;刹车情况下,所有的灯全部闪。
设置两个可控制的开关,设计电路实现所需达到功能。
通过双 J-K 触发器可产生0 0、0 1、1 0、1 1四种状态。
开关置为0 0状态时,表示汽车处于正常运行状态。
开关置为0 1状态时,表示汽车处于右转弯的状态。
开关置为1 0状态时,表示汽车处于左转弯的状态。
开关置为1 1状态时,表示汽车处于刹车的状态。
其中,K1 控制汽车尾灯的左转,K2 控制右转,K3 控制刹车。
当所有开关为低电平时,表示汽车正常行驶;当有一个转向灯开关为高电平时,汽车相应一侧的灯循环点亮;当刹车开关为高电平时,6个灯同时闪。
译码与显示电路可用3-8线译码器74LS138、6个与非门和6个反相器构成。
尾灯状态显示电路,控制汽车的全灭、单侧循环点亮、全部闪这三种不同的状态。
汽车尾灯电路设计实验报告
![汽车尾灯电路设计实验报告](https://img.taocdn.com/s3/m/15ac6a438f9951e79b89680203d8ce2f01666545.png)
汽车尾灯电路设计实验报告
汽车尾灯电路设计实验报告
一、实验目的
本实验旨在设计一个汽车尾灯电路,以满足汽车尾灯的功能要求。
二、实验要求
1. 理解汽车尾灯系统的整体功能;
2. 根据设计要求,设计合理的汽车尾灯电路;
3. 熟悉尾灯控制器的工作原理及其连接;
4. 熟悉调试和调试汽车尾灯电路的方法;
三、实验原理
汽车尾灯电路的基本原理是利用电子元器件和汽车尾灯控制器
组成的尾灯控制电路来实现汽车的各种尾灯功能的设计,它的具体控制原理是根据电压的大小自动对加入电路的各种尾灯的亮度和工作
时间进行调整,这样就能实现汽车尾灯的自动控制。
四、实验方法
1. 用电路设计软件设计汽车尾灯控制器;
2. 根据设计要求,编写程序,使用单片机进行汽车尾灯控制;
3. 根据设计方案,调整汽车尾灯电路开关的位置,实现汽车尾
灯的正确工作;
4. 根据实际汽车用电要求,调试汽车尾灯控制器,使其正确工作;
五、实验结果
实验结果表明,汽车尾灯控制器能够正确实现汽车尾灯的控制功能,以满足汽车尾灯的功能要求。
六、实验总结
本实验通过设计汽车尾灯控制器,培养实验者对汽车尾灯控制器工作原理及其连接方法的认识,以及对汽车尾灯电路的设计、调试、调整的技能。
这一实验对提高实验者在汽车电子设计方面的能力具有重要意义。
电子技术课程综合设计——汽车尾灯控制电路设计
![电子技术课程综合设计——汽车尾灯控制电路设计](https://img.taocdn.com/s3/m/9b3f5581fd0a79563d1e7233.png)
实习(设计)报告姓名班级学号实习(设计)科目电子技术综合课程设计实习实习(设计)地点实习(设计)时间实习(设计)科目电子技术综合课程设计实习实习设计指导教师姓名职务所在部门电气工程学院电气工程学院电气工程学院电气工程学院实习设计小组成员成员实习设计要求(1) 对该课程必须有认真的态度,设计实习期间必须保证出勤。
(2) 必须有刻苦钻研精神,认真完成设计实习任务。
(3) 遵守实验室的各项规章制度。
不按规程使用仪器仪表,造成损坏或丢失的,应按价赔偿。
实习设计任务(1)组装一台测量电动机转速表;(2)设计一个综合电子系统(要求至少用到三个以上芯片)(自选);(3)用Multisim软件对设计的电路进行仿真验证;(4)设计实习结束后编写完整的实习设计报告。
第一篇电子技术课程综合设计--------汽车尾灯控制电路设计摘要:当今生活节奏快,交通拥挤,导致交通事故频繁发生,其中汽车追尾事件在交通事故中所占比重较大。
追尾事件的发生主要是由于司机无法把握前方车辆的运行状态导致的,而汽车尾灯控制电路的产生,恰好有利于缓解这一状况。
通过对尾灯的控制,体现汽车在公路上的行驶状态,即汽车正常行驶、右转弯、左转弯、临时刹车时,是四个不同的表现状态。
汽车尾灯显示控制电路通过提醒其他司机周围正有车辆进行转弯、刹车操作,来达到减少交通事故发生的目的,对于减少交通事故具有一定的意义。
汽车尾灯显示控制电路是汽车尾灯显示电路的重要组成部分,主要完成控制与驱动功能具体电路由三进制计数器电路、汽车行驶状态开关控制电路和汽车状态显示电路三部分组成。
目录1 绪论 (1)1.1汽车尾灯设计的意义 (1)1.2汽车尾灯主要研究任务及内容 (1)2 汽车尾灯课程设计过程描述 (2)2.1描述主要的设计思路 (2)2.2 汽车尾灯总体设计方案方框图 (3)2.3 汽车尾灯各部分电路设计 (3)3 汽车尾灯整机电路图设计 (9)3.1整机电路图 (9)3.2器件清单 (10)4 仿真结果 (10)5 总结与体会? (12)6 参考文献 (12)1 绪论1.1汽车尾灯控制电路设计的意义当今生活节奏快,交通拥挤,导致交通事故频繁发生,其中汽车追尾事件在交通事故中所占比重较大。
汽车尾灯实训报告
![汽车尾灯实训报告](https://img.taocdn.com/s3/m/a901c2916bec0975f465e24d.png)
摘要随着集成电路和计算机技术的飞速发展,EDA技术应运而生,它是一种高级、快速、有效的电子设计自动化技术。
EDA讲大量的电路功能集成到一个芯片中,并且由用户自行设计逻辑功能,提高了系统的集成度和可靠行。
运用EDA技术可以方便、快捷的设计电路系统。
本课程设计基于EDA系统,综合运用数字电路的知识,完成了汽车尾灯控制器电路的设计,它由始终分频模块、汽车尾灯主控模块、左灯控制模块和右灯控制模块四大部分组成。
采用VHDL硬件描述语言描述汽车尾灯电路,完成对电路的功能仿真。
在设计过程中,重点探讨了汽车尾灯电路的设计思路和功能模块划分,通过分析仿真波形表明设计的汽车尾灯电路完成了预期的功能。
通过multism完成对电路的仿真,然后倒入PCB中,利用protel99绘制出PCB板。
关键字:EDA 汽车尾灯控制 PCB第1章设计内容及要求1.1 设计内容设计一个汽车尾灯控制器,利用EDA软件进行编译和仿真,然后将其倒入PCB中利用protel99画出PCB板。
1.2 设计要求假设汽车尾部左右两侧各有3个指示灯(用发光二级管模拟)有四种显示模式如下:●汽车正常运行时指示灯全亮;●左转弯时,左侧3个指示灯按左循环顺序点亮,每灯只隔0.5秒;●右转弯时,右侧3个指示灯按右循环顺序点亮,每灯只隔0.5秒;●临时刹车时左右两侧所有指示灯同时闪烁。
1.3 详细设计系统的输入信号包括:系统时钟信号CLK。
系统的输出信号包括:汽车左侧3盏指示灯LLED1,LLED2, LLED3和汽车右侧3盏指示灯RLED1 ,RLED2,RLED3。
当汽车正常行驶时所有的指示灯都不亮,当汽车左转时,汽车左边的指示灯循环点亮;当汽车右转弯时,汽车右边的指示灯循环点亮;当汽车刹车或检测时所有的指示灯全亮。
第2章 设计思路方框图2.1总的结构框图:汽车尾灯控制电路原理框图 图2-12.2设计思路:在开关控制电路输出和三进制计数器状态的作用下,提供6个尾灯控制信号,当译码驱动电路输出的控制信号为低电平是相应指示灯点亮。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
课程设计
课程名称电子技术综合设计与实践题目名称汽车尾灯控制器
学生学院自动化学院
专业班级13级自动化1班
学号3213000850
学生姓名洪东妮
指导教师杨健
2015年11月27日
广东工业大学课程设计任务书
题目名称汽车尾灯控制器
学生学院自动化学院
专业班级13级自动化班
姓名
学号
一、课程设计的内容
二、课程设计的要求与数据
三、课程设计应完成的工作
四、课程设计进程安排
序号设计各阶段内容地点起止日期
1Quartus II入门学习,熟悉设计流程实2-214
2进一步学习Quartus II,了解各设计任务及
其要求实2-214
3通过查阅资料,独立完成电路设计,提交纸
质电路原理图草稿实2-214
4在Quartus II和DE2板上实现设计实2-214
5演示和验收实2-214
6完成设计报告
五、应收集的资料及主要参考文献
发出任务书日期:2015年6月25日指导教师签名:
计划完成日期:2015年11月27日基层教学单位责任人签章:主管院长签章:
摘要
本课程设计是一个汽车尾灯控制器的题目。
通过74LS194双向移位寄存器及与非门电路实现相关设计技术要求,完成仿真后,用实验开发板DE2的指示灯模拟实现汽车尾灯的显示情况以反映汽车行驶情况:正常向前行驶,右转弯,左转弯,刹车。
利用电子器件设计一个汽车尾灯控制器,汽车左右尾灯各有三个指示灯,当控制开关S1,S0的状态为“00”时,汽车尾灯的右尾灯D1D2D3与左尾灯D4D5D6都不亮,表示汽车正常向前行驶。
当S1,S0的状态为“01”时,则汽车的右尾灯D1D2D3依次循环闪烁,左尾灯都不亮,表示汽车即将右转弯。
当S1,S0的状态为“10”时,则汽车的左尾灯D4D5D6依次循环闪烁,右尾灯都不亮,表示汽车即将左转弯。
当S1,S0的状态为“11”时,则汽车的左右尾灯D4D5D6和D1D2D3都同时依次循环闪烁,表示汽车正在刹车。
本课程设计是为了培养我们的独立思考能力、电路设计分析能力及实验动手能力,让我们复习掌握数字实验设计和调试的方法,提高我们的分析,解决问题的能力。
关键词:汽车尾灯、74LS194、DE2、Quartus II
目录
1设计任务目的与要求 (1)
1.1设计任务目的 (1)
1.2设计任务要求 (1)
2模块及其原理介绍 (1)
2.174LS194双向以为寄存器工作原理 (1)
2.2D触发器工作原理 (2)
3设计方案 (2)
3.1设计思想 (2)
3.2设计框图 (3)
3.3设计流程图 (3)
3.4原理图 (4)
4实验结果与数据分析 (4)
4.1仿真分析 (4)
4.2DE2板测试步骤及实验现象 (5)
4.3结果分析 (6)
5结论与问题讨论 (6)
5.1实训心得 (6)
参考文献 (7)
1设计任务目的与要求
1.1设计任务目的
利用各种器件设计一个汽车尾灯控制器。
1.2设计任务要求
汽车左右尾灯各有三个指示灯,当控制开关S1,S0的状态为“00”时,汽车尾灯的右尾灯D1D2D3与左尾灯D4D5D6都不亮,表示汽车正常向前行驶。
当S1,S0的状态为“01”时,则汽车的右尾灯D1D2D3依次循环闪烁,左尾灯都不亮,表示汽车即将右转弯。
当S1,S0的状态为“10”时,则汽车的左尾灯D4D5D6依次循环闪烁,右尾灯都不亮,表示汽车即将左转弯。
当S1,S0的状态为“11”时,则汽车的左右尾灯D4D5D6和D1D2D3都同时依次循环闪烁,表示汽车正在刹车。
尾灯与汽车运行状态控制表
开关控制
运行状态左尾灯D4D5D6右尾灯D1D2D3 S1S0
00正常运行灯灭灯灭
01右转弯灯灭按D1D2D3顺序循环点亮
10左转弯按D4D5D6顺序循环点亮灯灭
11临时刹车所有尾灯随时钟CP同时闪烁
2模块及其原理介绍
2.174LS194双向以为寄存器工作原理
74LS194移位寄存器中的数据可以在移位脉冲作用下一次逐位右移或左移,数据既可以并行输入、并行输出,也可以串行输入、串行输出,还可以并行输入、串行输出,串行输入、并行输出。
1.当清除端(CLEAR)为低电平时,输出端(QA-QD)均为低电平。
2.当工作方式控制端(S0、S1)均为高电平时,在时钟(CLOCK)上升沿作用下,并行数据(A-D)被送入相应的输出端QA-QD。
此时串行数据(DSR、DSL)被禁止。
3.当S0为高电平、S1为低电平时,在CLOCK上升沿作用下进行右移操作,数据由DSR 送入。
4.当S0为低电平、S1为高电平时,在CLOCK上升沿作用下进行操作,数据由DSR送入。
5.当S0和S1均为低电平时,CLOCK被禁止。
对于54(74)194,只有当CLOCK为高电平时S0和S1才可改变。
2.2D触发器工作原理
负跳沿触发的主从触发器工作时,必须在正跳沿前加入输入信号。
如果在CP高电平期间输入端出现干扰信号,那么就有可能使触发器的状态出错。
而边沿触发器允许在CP触发沿来到前一瞬间加入输入信号。
这样,输入端受干扰的时间大大缩短,受干扰的可能性就降低了。
边沿D触发器也称为维持-阻塞边沿D触发器。
SD和RD接至基本RS触发器的输入端,它们分别是预置和清零端,低电平有效。
当SD=0且RD=1时,不论输入端D为何种状态,都会使Q=1,Q=0,即触发器置1;当SD=1且RD=0时,触发器的状态为0,SD和RD通常又称为直接置1和置0端。
电路结构:该触发器由6个与非门组成,其中G1和G2构成基本RS触发器。
3设计方案
3.1设计思想
根据开关控制的状态和灯的数目及控制要求,用两块74LS194分别控制D1D2D3和D4D5D6,当S1和S0为0时,将两块74LS194的清零端输入为零达到将芯片的输出置零的目的;当S1、S2不同时为0和1时,达到分别控制的灯的亮灭;当S1、S2同时为1时,将它们与clock 通过与门连接到六个灯便可达到六个灯同时闪烁的要求。
3.2设计框图
3.3设计流程图
查找资料
打开quartus II软件及设
置相关参数
输入原理图
设计方案、原理图
编译、仿真
下载到DE2版上并验证编写设计报告修改原理图
信号输入端S0,S174LS194时钟CLK与门电路信号输出
分频电路
3.4原理图
4实验结果与数据分析4.1仿真分析
4.2DE2板测试步骤及实验现象验证步骤
验证现象
教师评价令
s0=0且s1=0
所有指示灯都不亮优
令s0=1且s1=0
表示右尾灯的指示灯D1D2D3依次循环闪烁令s0=0且s1=1
表示左尾灯的指示灯D4D5D6依次循环闪烁令s0=1且s1=1表示左右尾灯的指示灯随着时钟CLK
一起全部同时闪烁实验结果实图:
右尾灯循环闪烁
左尾灯循环闪烁
六个灯全部同时闪烁
4.3结果分析
实验结果与课程设计要求一致,本实验达到控制汽车尾灯的所有运行状态的要求,设计合格。
5结论与问题讨论
5.1实训心得
(1)实践是检验真理的标准,通过此次实践,我知道了所学的知识要如何运用,对学过的知识有个很好的总结运用并对以后的学习更加明确方向和了解自己所欠缺的知识点。
对quartus和DE2板有了更加深入的了解。
(2)以往都是理论的学习,以为理论搞定之后,实际情况就会很理想,经过把整个实践流程都做完之后,我发现理论知识是远远不够的,所以我们还必须从理论出发,走到实践中去。
(3)通过自学,查阅资料,了解了74LS194芯片的功能及工作原理。
并且在这个过程中,我学会了怎么去自学,达到了不单单学习这件芯片的知识,而是学习一类方法的效果。
(4)这次的实训,既让我体会到了个人能力的不足,也让我感受到了团队的力量。
(5)这次实训,让我复习了模电、数电的知识,让我对这两门课有更一深层次的了解,再次验证了温故而知新的道理。
参考文献
[1]江国强.SOPC技术与应用.机械工业出版社.2006年10月
[2]江国强.EDA技术与应用.电子工业出版社.2007年1月
[3]谢云.现代电子技术实践课程指导.机械工业出版社
[4]张志刚.FPGA与SOPC设计教程:DE2实践.西安电子科技大学出版社.2007.334页+光盘1片
[5]阎石.数字电子技术基础.高等教育出版社.2006年5月。