EDA汽车尾灯控制课程设计报告
EDA课设要求汽尾灯
EDA 课程设计报告书课题名称 汽车尾灯控制电路设计 姓 名杜少波学 号 20076287 院、系、部 电气系 专 业 电气工程 指导教师高迎霞※※※※※※※※※ ※※ ※※ ※※ ※※※※※※※※※2007级EDA课程设计2010年 6 月18日汽车尾灯控制电路设计一、设计目的熟悉循环和时钟的运用,熟练使用模块进行进程的实现,最终实现汽车按要求实现正常运行、左转、右转和刹车的电路模拟。
二、设计要求汽车尾部左右两侧都有3指示灯,要求:汽车正常运行时指示灯全灭;右转弯时,右侧的3个指示灯按照右循环顺序依次亮;左转弯时左侧的3个指示灯按照左循环的顺序依次亮;临时刹车时所有指示灯同时闪烁。
三、电路及连线设计四、使用说明定义左右转控制开关分别为k1和k2,左显示灯为D1-1~D1-3;右显示灯为D1-4~D1-6。
当k1为高电平时灯的显示为D1-1←D1-2←D1-3如此循环,当k2为高电平时灯的显示为D1-4→D1-5→D1-6并循环,如果k1k2均为低电平则显示灯全灭(即为正常运行状态),如果均为高电平则全亮并闪烁(即刹车状态)。
五、流程图设计六、程序设计如下:主控制模块顶层文件:library ieee;use ieee.std_logic_1164.all;entity kz isport(left,right:in std_logic;lft,rit,lr:out std_logic);end kz;architecture kz_arc of kz isbeginprocess(left,right)variable a:std_logic_vector(1 downto 0); begina:=left&right;case a iswhen"00"=>lft<='0';-----------------正常运行 rit<='0';lr<='0';when"10"=>lft<='1';-----------------左转运行 rit<='0';lr<='0';when"01"=>rit<='1';------------------右转运行 lft<='0';lr<='0';when others=>rit<='0';---------------刹车lft<='0';lr<='1';end case;end process;end kz_arc;左转控制模块:library ieee;use ieee.std_logic_1164.all;entity lfta isport(en,clk,lr:in std_logic;l2,l1,l0:out std_logic);end lfta;architecture lft_arc of lfta isbeginprocess(clk,en,lr)variable tmp:std_logic_vector(2 downto 0);beginif lr='1' thentmp(0)=NOT CLK;--------------刹车闪烁tmp(1)=NOT CLK;tmp(2)=NOT CLK;elsif en='0' thentmp:="000";elsif clk'event and clk='1' then------------左转依次闪烁 if tmp="000" thentmp:="001";elsetmp:=tmp(1 downto 0)&'0';end if;end if;l2<=tmp(2);l1<=tmp(1);l0<=tmp(0);end process;end lft_arc;右转控制模块:library ieee;use ieee.std_logic_1164.all;entity rita isport(en,clk,lr:in std_logic;r2,r1,r0:out std_logic);end rita;architecture rit_arc of rita isbeginprocess(clk,en,lr)variable tmp:std_logic_vector(2 downto 0);beginif lr='1' then------------------------刹车闪烁tmp(0)=NOT CLK;tmp(1)=NOT CLK;tmp(2)=NOT CLK;elsif en='0' thentmp:="000";elsif clk'event and clk='1' then----------------右转依次闪烁 if tmp="000" thentmp:="100";elsetmp:='0'&tmp(2 downto 1);end if;end if;r2<=tmp(2);r1<=tmp(1);r0<=tmp(0);end process;end rit_arc;时钟脉冲模块,时间设定为2s:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity timer2s isport(clk:in std_logic;clkout:out std_logic);end entity timer2s;architecture one of timer2s isbeginprocess(clk)variable counter:std_logic_vector(7 downto 0);variable qclkout:std_logic;beginif clk'event and clk='1' then --以128Hz为基本时钟源,对其上升沿计数if counter="11111111" then --计数个数为256个即256×128Hz=2sqclkout:=not qclkout;clkout<=qclkout;counter:="00000000";--计数器满则输出反相计数器清零else counter:=counter+1;--每捕捉到一个基本时钟源上升沿计数器加一end if;end if;end process;end architecture one;七、设计总结:通过对EDA的学习和课程设计的实践讨论,我们对VHDL语言的编写和进程操作有了更进一步的认识和理解。
EDA课程设计汽车尾灯控制器
常用的EDA软件介绍
Cadence:用于电路设计和仿真,提供全 面的设计工具和库
Mentor Graphics:用于PCB设计和仿真, 提供强大的布线和仿真功能
Synopsys:用于芯片设计和验证,提供 全面的设计和验证工具
Altera:用于FPGA设计和仿真,提供强 大的设计和仿真工具
Xilinx:用于FPGA设计和仿真,提供强大 的设计和仿真工具
添加标题
编辑设计文件,包括添加、 删除、修改元器件和连线等
添加标题
生成生产文件,包括PCB文 件、BOM表等
Part Four
汽车尾灯控制器的 电路设计
电路原理图设计
电源输入:12V直流电源
控制信号输入:来自汽车尾灯控制器 的信号
控制输出:控制尾灯的亮度和闪烁频 率
电路保护:过流保护、短路保护、过 压保护等
EDA课程设计汽车尾灯 控制器
,a click to unlimited possibilities
汇报人:
目录
01 添 加 目 录 项 标 题 03 E D A 软 件 介 绍 05 汽 车 尾 灯 控 制 器 的 程 序 编
写
07 总 结 与 展 望
02 汽 车 尾 灯 控 制 器 概 述 04 汽 车 尾 灯 控 制 器 的 电 路 设 计 06 汽 车 尾 灯 控 制 器 的 调 试 和
展望:在未来的学习和 工作中,将继续加强 EDA课程设计的学习 和实践,提高自身的专 业素质和技能水平
建议:希望学校能够提 供更多的实践机会,让 学生更好地将理论知识 应用到实际项目中
对未来学习和工作的展望
深入学习:掌握更多EDA课程设计的知识和技能 实践应用:将所学知识应用到实际项目中,提高解决问题的能力 团队合作:与团队成员密切合作,提高团队协作能力 持续创新:不断学习新知识,探索新的解决方案,提高创新能力
汽车尾灯的控制电路设计报告
成都农业科技职业学院信息技术分院项目计报告课程名称:集成电路设计VHDL教程设计题目:汽车尾灯的控制电路专业:应用电子技术班级:应用电子班姓名:******学号:************目录一、设计要求及设计目的1 设计意义2 设计要求3 设计目的二、详细设计步骤1 汽车尾灯的运行状态表2 电路控制3 结构程序三、设计结果及仿真四、结论五、心得体会六、参考文献一、设计要求及设计目的1课题的意义随着(EDA)仿真技术的发展,数字系统的设计技术和设计工具发生了深刻的变化。
利用硬件描述语言(VHDL)数字系统的硬件电路进行描述是EDA VHDL语言是目前主流的硬件描述语言,它具有很强的电路描述和建模能力,具有与具体电路无关和与设计平台无关的特性,在语言易读性和层次化结构方面表现出强大的生命力和应用潜力。
本次课程设计历时三周,通过分组讨论,实现课题的任务。
本次实验可以将课本上学习到的知识很好的应用到实际中来,增强独立设计思考能力及自主动手能力。
在实验中,更深地理解相关原理。
在实验掌握了逻辑电路的设计能力,可以在今后的电路设计问题上运用本次课程设计学习到的相关经验来解决相应问题。
2 设计要求本项目主要考验对MAX+PLUS Ⅱ软件的使用以及熟悉芯片的使用,掌握时序和组合逻辑电路的分析方法,画出控制电路框图和原理图,用MAX+PLUS Ⅱ完成电路仿真分析3设计目的利用 VHDL实现汽车尾灯控制,用6个发光二极管模拟6个汽车尾灯(汽车尾部左、右各3个),用两个开关作为转弯控制信号(一个开关控制右转弯,另一个开关控制左转弯)。
当汽车往前行驶时(此时两个开关都未接通),6个灯全灭。
当汽车转弯时,若右转弯(即右转弯开关接通),右边3个尾灯从左至右顺序亮灭,左边3个灯全灭;若左转弯(即左转弯开关接通),左边3个尾灯从右至左顺序亮灭,右边3个灯全灭;当左右两个开关同时接通时6个尾灯同时明、暗闪烁。
当左右转信号同时有效时,6个灯的闪烁是通过一个与非门实现。
EDA汽车尾灯控制器设计报告
《EDA技术应用》课程设计报告专业:通信工程班级:姓名:指导教师:二0xx 年x月x 日1. 设计任务和要求 (2)1.1设计任务 (2)1.2设计要求 (2)2. 设计方案 (3)3. 各模块设计 (3)3.1主控模块 (3)3.2右边灯控制模块 (5)3.3左边灯控制模块 (7)3.4时钟分频模块 (9)3.5顶层文件(ourdesign.vhd) (11)4.整体设计 (12)4.1系统仿真图 (12)4.2系统电路图 (13)5.硬件测试 (14)5.1端口设置 (14)5.2测试结果 (15)6.心得体会 (15)7.指导教师意见 (15)8 .参考文献 (16)汽车尾灯控制器的设计1.设计任务及要求1.1设计任务假设汽车尾部左右两侧各有3盏指示灯,其控制功能应包括:(1)汽车正常行驶时指示灯都不亮。
(2)汽车右转弯时,右侧的一盏指示灯亮。
(3)汽车左转弯时,左侧的一盏指示灯亮。
(4)汽车刹车时,左右两侧的一盏指示灯同时亮。
(5)汽车在夜间行驶时,左右两侧的一盏指示灯同时一直亮,供照明使用。
1.2设计要求(1)采用VHDL语言编写程序,并在QuartusII工具平台中进行开发,下载到EDA实验箱进行验证。
(2)编写设计报告,要求包括方案选择、程序清单、调试过程、测试结果及心得体会。
2.设计方案大致设计方案:根据系统设计要求,系统设计采用自顶向下的设计方法,顶层设计采用原理图设计方案,它是由时钟分频模块、汽车尾灯主控模块、左边灯控制模块、右边灯控制模块四部分组成。
系统的输入信号包括:系统时钟信号CLK,汽车左转弯控制信号LEFT,汽车右转弯控制信号RIGHT,刹车信号BRAKE,夜间行驶信号NIGHT。
系统的输出信号包括:汽车左侧3 盏指示灯LLED1,LLED2,LLED3和汽车右侧3 盏指示灯RLED1,RLED2,RLED3。
当汽车正常行驶时所有的指示灯都不亮,当汽车向左转时,汽车左边的指示灯LLED1亮,当汽车向右转时,汽车右边的指示灯RLED1亮,当汽车刹车时,左右的LLED2、RLED2亮,当汽车夜间行驶时,汽车左右的LLED3、RLED3一直亮。
EDA课程设计(汽车尾灯设计与实现).doc
EDA课程设计课题名称:汽车尾灯的设计院系:信息科学与工程专业班级:姓名:学号:指导老师:目录摘要.................................................................................................................................................................................................... 错误!未定义书签。
第 1 章选题依据 0汽车尾灯的国内外发展现状 0可编程器件的发展 (1)可编程器件在汽车电子上的运用 (1)设计内容和目标 (2)EDA设计流程 (2)第 2 章EDA、VHDL 简介 (4)EDA技术 (4)EDA技术的概念 4EDA技术的特点 4EDA设计流程 4 硬件描述语言(VHDL) (4)VHDL简介 4VHDL语言的特点 5 第 3 章设计实现 (7)汽车尾灯控制器的工作原理 (7)功能描述7模块设计7 (1)汽车尾灯主控制模块 (8)(2)时钟分频模块 (8)(3)左侧尾灯功能模块 (8)(4)右侧尾灯功能模块 (9)图形元件原理图9 主要 VHDL 源程序 (10)汽车尾灯主控制模块CTRL (10)时钟分频模块SZ (11)右侧尾灯控制模块RC (12)左侧尾灯控制模块LC (14)顶层文件VHDL 程序() (16)仿真图及块 (18)各模块的仿真波形图 (18)图 3-2 汽车尾灯主控制模块CTRL (18)仿真波形分析 (22)第 4 章设计总结 (23)参考文献 (25)第1章选题依据汽车尾灯的国内外发展现状如今的时代,万物日新月异。
在汽车领域中,这种变化也同样存在。
现在,汽车不仅仅是主要的代步工具之一,同时也是时尚和潮流的最好的体现。
汽车尾灯是汽车的语言。
更加灵敏的灯光信号可以更好的被人“读懂” ,更有效地对其他车辆的司机起到提醒作用,及时采取相应的规避动作,从而让驾驶更安全。
汽车尾灯控制器的设计(EDA大作业)【范本模板】
EDA技术课程大作业设计题目: 汽车尾灯控制器的设计学生姓名:学号:专业班级:2012年6月2日汽车尾灯控制器的设计1。
设计背景和设计方案1.1 设计背景随着社会的发展,科学技术也在不断的进步,状态机的应用越来越广泛.现代交通越来越拥挤,安全问题日益突出,在这种情况下汽车尾灯控制器的设计成为解决交通安全问题一种好的途径。
伴随着集成电路和计算机技术的飞速发展,EDA技术应运而生,它是一种高级、快速、有效的电子设计自动化技术。
EDA将大量的电路功能集成到一个芯片中,并且可以由用户自行设计逻辑功能,提高了系统的集成度和可靠性。
运用EDA技术可以方便、快捷设计电路系统。
本次设计就是运用EDA技术,根据状态机原理实现了汽车尾灯常用控制。
1.2 设计方案1.2。
1 系统设计要求根据现代交通规则,汽车尾灯控制器应满足以下基本要求:(1)。
汽车正常使用是指示灯不亮(2). 汽车右转时,右侧的一盏灯亮(3)。
汽车左转时,左侧的一盏灯亮(4)。
汽车刹车时,左右两侧的指示灯同时亮(5). 汽车夜间行驶时,左右两侧的指示灯同时一直亮,供照明使用1。
2.2 系统组成及原理图汽车尾灯控制器就是一个状态机的实例。
当汽车正常行驶时所有指示灯都不亮;当汽车向右转弯时,汽车右侧的指示灯RD1亮;当汽车向左侧转弯时,汽车左侧的指示灯LD1亮;当汽车刹车时,汽车右侧的指示灯RD2和汽车左侧的指示灯LD2同时亮;当汽车在夜间行驶时,汽车右侧的指示灯RD3和汽车左侧的指示灯LD3同时一直亮.通过设置系统的输入信号:系统时钟信号CLK,汽车左转弯控制信号LEFT,汽车右转弯控制信号RIGHT,刹车信号BRAKE,夜间行驶信号NIGHT和系统的输出信号:汽车左侧3盏指示灯LD1、LD2、LD3和汽车右侧3盏指RD1、RD2、RD3实现以上功能。
系统的整体组装设计原理如图1所示。
图1 系统的整体组装设计原理2.方案实施汽车尾灯控制器有4个模块组成,分别为:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块,以下介绍各模块的详细设计。
《EDA技术应用》课程设计报告-汽车尾灯控制电路
1引言 (1)1.1设计的目的 (1)1.2设计的基本内容 (1)2 EDA、VHDL简介 (1)2.1EDA技术 (1)2.2硬件描述语言(VHDL) (2)3汽车尾灯控制器的设计过程 (3)3.1系统需求分析 (3)3.2汽车尾灯控制器的工作原理 (3)3.3各组成模块原理及程序 (4)4系统仿真 (9)4.1分频模块仿真及分析 (9)4.2汽车尾灯主控模块仿真及分析 (10)4.3左边灯控制模块仿真及分析 (11)4.4右边灯控制模块仿真及分析 (12)4.5整个系统仿真及分析 (13)结束语 (15)指导老师意见 (16)参考书目 (16)1引言随着人们生活水平的提高,汽车的消费量越来越大。
因为人们也越来越忙,不管是夜晚还是阴雨、大雾等天气原因的影响,人们都开着车在纵横交错的马路上行驶。
为了提高人们因夜晚或因天气原因在纵横交错的马路上驾驶的安全系数,也是为了减少交通事故的发生。
我们采用了先进的EDA技术,Quartus Ⅱ工作平台和VHDL语言,设计了一种基于FPGA的汽车尾灯控制系统,并对系统进行了仿真机验证。
这一控制电路,结构简单、性能稳定、操作方便、抗干扰能力强。
将它应用于现代汽车,不受黑夜或大雾、阴雨天气因素的影响,可以提高安全行驶,避免交通事故的发生。
真正的让消费者驾驶汽车的方便和安全。
1.1 设计的目的其一、设计一个能适应现代汽车智能化发展要求的汽车尾灯控制电路。
改善以前的汽车尾灯控制系统,降低汽车尾灯控制器的生产成本。
其二、学好VHDL 这门硬件描述语言,加深对VHDL语言知识的理解和掌握,提高学习能力和创新能力,使自己适应不断发展的21世纪。
1.2 设计的基本内容根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。
汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。
把各个模块整合后就形成了汽车尾灯控制器。
汽车尾灯控制器的设计.EDA课程设计汇本
EDA 课程设计报告书课题名称 汽车尾灯控制器的设计姓 名 亨 学 号 0812201-48 院 系物理与电信工程系※※※※※※※※※ ※※ ※※ ※※※※※※※※※※※2008级学生EDA 课程设计专业电子信息工程指导教师周来秀讲师2011年6月10日汽车尾灯控制器的设计亨(城市学院物理与电信工程系电子信息工程专业,,41300)1设计目的(1)学会在QuartusⅡ环境中运用VHDL语言设计方法来构建具有一定逻辑功能的模块,并能运用原理图设计方法完成顶层设计。
掌握所学的课程知识和基本单元电路的综合设计应用。
(2)通过对实用汽车尾灯控制器的设计,巩固和综合运用所学知识,提高设计能力,并掌握汽车尾灯控制在FPGA中实现的方法。
2设计的主要容和要求(1)汽车正常行驶时,指示灯不亮。
(2)汽车右转时,右侧的指示灯亮。
(3)汽车左转时,左侧的指示灯亮。
(4)汽车刹车时,左右两侧的指示灯同时亮。
(5)汽车在雾中行驶时,左侧的指示灯不断闪烁。
(6)汽车在倒车时,右侧的指示灯不断闪烁。
3 整体设计方案汽车尾灯控制器就是一个状态机的实例。
整体设计方框图如图3.1所示图3.1 整体设计方框图整个系统由4个模块组成:主控制模块,左侧控制模块,雾、倒车控制模块,右侧控制模块和显示模块。
其中主控制模块主要包括转向控制、雾中行驶控制和倒车控制,CLK为时钟信号。
左侧控制模块主要包括对左侧转向和刹车指示灯的控制。
右侧控制模块主要包括对右侧转向和刹车指示灯的控制。
雾、倒车控制模块主要包括对雾中行驶指示灯和倒车指示灯的控制。
显示模块为各状态的指示灯。
汽车尾灯控制器工作过程:当汽车正常行驶时所有指示灯都不亮;汽车右转弯时,汽车右侧的指示灯RD1亮;汽车左转弯时,汽车左侧的指示灯LD1亮;刹车时,汽车右侧的指示灯RD2和左侧的指示灯LD2同时亮;汽车在雾中行驶时,左侧的指示灯LD3不断闪烁。
汽车在倒车时,右侧的指示灯RD3不断闪烁。
EDA 课程设计汽车尾灯控制器
《EDA技术》课程设计说明书汽车尾灯控制器设计学院:电气与信息工程学院学生姓名:朱木宁指导教师:胡红艳职称\学位高级实验师专业:电子与信息工程班级:电子1401班学号:完成时间:2016/6《EDA技术》课程设计任务书随着社会的不断进步,现代化技术已经深入到人们生活的各个角落,而汽车作为较为方便的代步工具,已经成为我们生活中不可或缺的一部分。
人们对汽车的研究已经是非常的深入,为了使汽车更好的服务人类,人们从来没有停止过对汽车的研究,对于司机来说,汽车信号灯是汽车与汽车这间的交流渠道,不同的亮灯模式表达了不同的信息,是协调交通,避免交通事故发生的重要信息,所以汽车尾灯控制器的作用是毋庸置疑的。
本次课程设计采用的是EDA控制技术来实现汽车尾灯控制电路的设计。
首先给出了设计方案,然后进行的VHDL的程序设计,生成了底层文件,再画出了汽车尾灯控制系统的顶层文件原理图,同时进行了软件仿真和硬件下载测试。
本次设计较好的完成的课程设计的要求,使其控制系统使用更方便,成本更低廉。
关键词:EDA技术,VHDL程序,硬件下载。
ABSTRACTWith the constant progress of the society, modern technology has gone deep intoevery corner of people's lives, and car as more convenient means of transportationtool, has become a part of an integral part of our lives. People's study on the car isalready very deeply, in order to make the car better service to humanity, people havenever stopped for automobile research and for drivers, car lights are cars and car thechannels of communication, different lighting modes to express different information,coordinate transportation, avoid traffic accidents important information, so cartaillight controller role is beyond doubt.This design for the automobile tail light controller design, uses the EDA controltechnology to realize the automobile tail light control circuit design. First, the designof the program, and then the VHDL program design, generated the underlyingdocument, drawing out the car light control system schematic, while the software simulation and download test. This design better complete the requirements of the curriculum design, so that the use of its control system is more convenient, the cost is more low.Key words:EDA control,VHDL program目录1概述 (6)1.1 EDA简介 (6)1.2设计目的 (6)1.3设计简介及要求 (7)2设计方案 (8)3 软件电路的设计 (9)3.1 主控制模块 (9)3.2 左侧控制模块 (10)3.3雾灯模式、倒车控制模块 (11)3.4 右侧控制模 (12)3.5 汽车尾灯控制器系统电路 (13)4 系统仿真 (14)4.1汽车控制器系统仿真 (14)4.2主控制模块仿真 (15)4.3左侧控制模块仿真 (15)4.4右侧控制模块仿真 (16)4.5雾灯模式、倒车控制模块仿真 (16)5 下载测试 (17)5.1芯片选择及引脚锁定 (17)5.2 下载测试 (18)结束语 (19)参考文献 (20)致谢 (21)附录 1主控模块程序 (22)附录 2 左侧控制模块程序 (22)附录 3 雾灯、倒车控制模块程序 (23)附录 4 右侧控制模块程序 (24)1 概述1.1 EDA简介随着电子技术的迅猛发展,高新技术日新月异,传统的设计方法正逐步退出历史舞台,取而代之的是基于 EDA 技术的芯片设计技术,它正成为电子系统设计的主流。
汽车尾灯控制器设计EDA课程设计报告
EDA课程设计报告汽车尾灯控制器设计专业:通信工程学号:姓名:日期:2014-7-31.设计目的本次设计的目的就是通过实践深入理解状态机原理,了解EDA技术并掌握VHDL硬件描述语言的设计方法和思想。
通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识和基本单元电路的综合设计应用。
通过对实用汽车尾灯控制器的设计,巩固和综合运用所学知识,提高分析、解决EDA技术实际问题的独立工作能力。
根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。
汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。
把各个模块整合后就形成了汽车尾灯控制器。
通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。
2.汽车尾灯控制器的设计过程根据现代交通规则,汽车尾灯控制器应满足以下基本要求:1.汽车正常使用是指示灯不亮2.汽车右转时,右侧的一盏灯亮3.汽车左转时,左侧的一盏灯亮4.汽车刹车时,左右两侧的指示灯同时亮5.汽车夜间行驶时,左右两侧的指示灯同时一直亮,供照明6.出现大雾天气时,两侧雾灯点亮,增加可视度。
3.汽车尾灯控制器的工作原理汽车尾灯控制器就是一个状态机的实例。
当汽车正常行驶时所有指示灯都不亮;当汽车向右转弯时,汽车右侧的指示灯ldright亮;当汽车向左侧转弯时,汽车左侧的指示灯ldleft亮;当汽车刹车时,汽车右侧的指示灯ldbrake1和汽车左侧的指示灯ldbrake2同时亮;当汽车在夜间行驶时,汽车右侧的指示灯ldnight1和汽车左侧的指示灯ldnight2同时一直亮;当于大雾天行驶时右侧指示灯ldfoggy1和左侧指示灯ldfoggy2同时亮。
通过设置系统的输入信号:系统时钟信号clk,汽车左转弯控制信号left,汽车右转弯控制信号right,刹车信号brake,夜间行驶信号night,雾灯信号foggy和系统的输出信号:汽车左侧4盏指示灯ldleft,dbrake1,dnight1,dfoggy1和汽车右侧4盏指示灯ldright,ldbrake2,ldnight2,ldfoggy2实现以上功能。
EDA课程设计-汽车尾灯控制器
EDA课程设计-汽车尾灯控制器
一、设计需求
随着汽车行业的不断发展,车辆的智能化程度也在不断提高。
汽车电子控制系统的应用越来越普及,汽车尾灯控制器也正是汽车电子控制系统的一部分。
本课程设计旨在设计一款汽车尾灯控制器,可以根据车速、刹车、灯光、是否开车门等因素来控制车尾灯的亮灭。
二、硬件设计
1.电源电路
汽车电气系统的电压范围通常在8-16V之间,因此采用12V 直流电源供电。
同时考虑到汽车电气系统的供电环境不稳定,因此设计具有保护功能的稳压电路。
2.信号输入电路
采用多个开关量传感器对车速、刹车、灯光、车门等状态进行检测,将传感器的信号输入到单片机进行处理和判断。
3.信号输出电路
设计具有PWM调节功能的电路,实现对车尾灯亮度的调节。
同时通过继电器控制车尾灯的开关,实现车尾灯的亮灭控制。
三、软件设计
1.程序流程
设计程序流程如下:
开始
检测各个传感器状态
根据传感器状态控制车尾灯亮灭
结束
2.程序实现
采用单片机作为处理器,根据不同传感器状态的输入,控制输出PWM信号,在车尾灯控制电路中调节车尾灯的亮度,并通过继电器控制车尾灯的开关,实现车尾灯的亮灭控制。
四、总结
汽车尾灯控制器是车辆电子控制系统中极为重要的部分,可以通过对车速、刹车、灯光、车门等状态进行检测,实现对车尾灯的亮灭控制。
本课程设计通过对电源电路、信号输入电路、信号输出电路和程序流程的设计,实现了一款汽车尾灯控制器的设计,为汽车智能化提供了一定的技术基础。
数电课程设计报告---汽车尾灯控制电路
扬州大学能源与动力工程学院本科生课程设计题目:汽车尾灯控制电路课程:数字电子技术基础专业:班级:学号:姓名:指导教师:完成日期:总目录第一部分:任务书第二部分:课程设计报告第一部分任务书《数字电子技术基础》课程设计任务书一、课程设计的目的本课程是在学完《数字电子技术基础》、《数字电子技术实验》之后,集中一周时间,进行的复杂程度较高、综合性较强的设计课题的实做训练。
主要包括:方案论证、系统电路分析、单元功能电路设计、元器件选择、安装调试、计算机辅助设计、系统综合调试与总结等。
使学生在《数字电子技术》基本知识、实践能力和综合素质、创新意识、水平诸方面得到全面提高,为后续课程的学习,为培养应用型工程技术人才打下重要基础。
通过本课程设计可培养和提高学生的科研素质、工程意识和创新精神。
真正实现了理论和实际动手能力相结合的教学改革要求。
二、课程设计的要求1、加强对电子技术电路的理解,学会查寻资料、方案比较,以及设计计算等环节,进一步提高分析解决实际问题的能力。
2、独立开展电路实验,锻炼分析、解决电子电路问题的实际本领,真正实现由知识向技能的转化。
3、独立书写课程设计报告,报告应能正确反映设计思路和原理,反映安装、调试中解决各种问题。
三、课程设计进度安排1、方案设计;(一天)根据设计任务书给定的技术指导和条件,进行调查研究、查阅参考文献,进行反复比较和可行性论证,确定出方案电路,画出主要单元电路,数据通道,输入、输出及重要控制信号概貌的框图。
2、电路设计:(一天)根据方案设计框图,并画出详细的逻辑图3、装配图设计:(半天)根据给定的元器件,结合逻辑图,设计出电路制作的具体装配图(即绘出组件数量,管脚号以及器件布置的实际位置)。
同时配以必要的文字说明。
4、电路制作:(一天半)对选定的设计,按装配图进行装配,调试实验。
5、总结鉴定:(半天)考核样机是否全面达到现定的技术指标,能否长期可靠地工作,并写出设计总结报告。
基于EDA技术的汽车尾灯控制电路设计精选全文
可编辑修改精选全文完整版汽车尾灯控制电路一、设计任务(一)系统功能汽车尾灯控制电路是很常用的工作电路,在日常的生活中有着很广泛的应用。
汽车行驶时,会出现正常行驶、左转弯、右转弯、刹车、倒车五种情况,针对这五种情况可以设计出汽车尾灯的控制电路来表示这五种状态。
根据以上所述,应用数字电子技术的知识,设计一款汽车尾灯控制系统,该系统中:1、假设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟);2、汽车正常运行时指示灯全灭;3、汽车左转弯时,左侧3个指示灯按左循环顺序点亮,汽车右转弯时,右侧3个指示灯按右循环顺序点亮,临时刹车和倒车时所有指示灯同时亮。
4、倒车时播放语音警告5、设置两个可控制的开关,可产生00、01、10、11四种状态开关置为00状态时,表示汽车处于正常运行状态。
开关置为01状态时,表示汽车处于右转弯状态。
开关置为10状态时,表示汽车处于左转弯状态。
开关置为11状态时,表示汽车处于刹车状态。
另设一开关表示汽车运行状态,0表示向前运行,1表示向后倒车。
(二)汽车尾灯控制电路设计任务1、系统框图与原理图设计2、系统电路原理EDA设计与仿真3、系统电路的PCB印制板设计4、硬件调试(三)EDA仿真实验条件要求实验板仿真芯片用Altera Cyclone系列的1C6 240-pin TQFP 可编程逻辑芯片。
大部分仿真用计算机软件QuartusII9.0来完成,当认为运行比较理想时,要按照后文的管脚定义,分配好管脚,编译成可下载的文件,下载到实验板上。
要求能够实现主体功能,实验结果存在的问题,要在报告中分析其原因。
二、实际设计(一)、系统框图与原理图设计该系统的内部控制主要由个模块构成,分别为:状态开关、控制器、倒车警告电路及二极管电路。
系统原理框图如下:1、状态开关共由3个开关组成,一个开关控制汽车运行方向,其余两个开关表示汽车运行状态。
2、控制器为写入程序的Altera Cyclone系列的1C6 240-pin TQFP FPGA芯片,由它根据输入的开关状态控制相应的输出信号。
汽车尾灯控制器的设计 EDA课程设计
EDA课程设计论文目录一课程设计目的 (3)二Protel 99se综合设计.............................................. (3)1.电路原理图设计 (3)2.新建与放置元件 (3)3.元件封装的定义与设定 (4)4.生成网络表 (6)5.印制路板的设计 (6)5.1.规划电路板………………………………………………………….6.5.2 设置参数 (6)5.3装入网络表…………………………………………………………….7.5.4元器件布局 (7)5.5 自动布线 (7)5.6 手工调整自动布线 (7)三实践设计 (8)1 内容:自动可乐售货机 (8)2.要求 (8)3.MAX+PLUSii软件选择与论证 (8)4.MAX+plusII软件的使用 (9)4.1文本编辑 (9)4.2原理图编辑 (14)4.3波形编辑 (15)四心得体会 (18)参考文献 (19)一课程设计目的1.学习掌握protel ,ewb和muxplus 2设计实用电路的方法,能熟练运用这些软件设计电路2.通过本课程设计的学习,复习所学的专业知识,使课堂学习的理论知识应用于实践,通过本课程设计的实践使我们具有一定的实践操作能力。
二protel 99 se综合设计<1>电路原理图设计进入protel 99se ,在E盘建立一个文件夹,创建一个数据库,执行菜单file/new命令选择schematic document 图标,双击该图标,建立原理图设计文档,执行菜单Design/Options 和Tool/Preferences,设置图纸大小A4、捕捉栅格、电气栅格等。
<2>新建与放置元件到元件库中找出所需的元件,然后用元件管理器的Place 按钮将元件放置在工作平面上,按住tap键,对元件属性进行设置,名称,标号。
标称值或元件型号以及元件封装,单击ok 键即可,再根据元件之间的走线把元件调整好。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
《EDA技术应用》课程设计报告专业:通信工程班级:09312班姓名:某某某指导教师:杨祖芳曾凡忠2012年05月20日目录1引言 (1)1.1设计的目的 (1)1.2设计的基本内容 (1)2 EDA、VHDL简介 (1)2.1EDA技术 (1)2.2硬件描述语言(VHDL) (2)3汽车尾灯控制器的设计过程 (3)3.1系统需求分析 (3)3.2汽车尾灯控制器的工作原理 (3)3.3各组成模块原理及程序 (4)4系统仿真 (9)4.1分频模块仿真及分析 (9)4.2汽车尾灯主控模块仿真及分析 (10)4.3左边灯控制模块仿真及分析 (11)4.4右边灯控制模块仿真及分析 (12)4.5整个系统仿真及分析 (13)结束语 (15)指导老师意见 (16)参考书目 (16)1引言随着人们生活水平的提高,汽车的消费量越来越大。
因为人们也越来越忙,不管是夜晚还是阴雨、大雾等天气原因的影响,人们都开着车在纵横交错的马路上行驶。
为了提高人们因夜晚或因天气原因在纵横交错的马路上驾驶的安全系数,也是为了减少交通事故的发生。
我们采用了先进的EDA技术,Quartus Ⅱ工作平台和VHDL语言,设计了一种基于FPGA的汽车尾灯控制系统,并对系统进行了仿真机验证。
这一控制电路,结构简单、性能稳定、操作方便、抗干扰能力强。
将它应用于现代汽车,不受黑夜或大雾、阴雨天气因素的影响,可以提高安全行驶,避免交通事故的发生。
真正的让消费者驾驶汽车的方便和安全。
1.1 设计的目的其一、设计一个能适应现代汽车智能化发展要求的汽车尾灯控制电路。
改善以前的汽车尾灯控制系统,降低汽车尾灯控制器的生产成本。
其二、学好VHDL 这门硬件描述语言,加深对VHDL语言知识的理解和掌握,提高学习能力和创新能力,使自己适应不断发展的21世纪。
1.2 设计的基本内容根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。
汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。
把各个模块整合后就形成了汽车尾灯控制器。
通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。
2 EDA、VHDL简介2.1 EDA技术EDA技术的概念EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。
EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。
EDA技术的特点利用EDA技术进行电子系统的设计,具有以下几个特点:①用软件的方式设计硬件;②用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;③设计过程中可用有关软件进行各种仿真;④系统可现场编程,在线升级;⑤整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。
因此,EDA技术是现代电子设计的发展趋势。
EDA设计流程典型的EDA设计流程如下:1、文本/原理图编辑与修改。
首先利用EDA工具的文本或图形编辑器将设计者的设计意图用文本或图形方式表达出来。
2、编译。
完成设计描述后即可通过编译器进行排错编译,变成特定的文本格式,为下一步的综合做准备。
3、综合。
将软件设计与硬件的可实现性挂钩,是将软件转化为硬件电路的关键步骤。
4、行为仿真和功能仿真。
利用产生的网表文件进行功能仿真,以便了解设计描述与设计意图的一致性。
5、适配。
利用FPGA/CPLD布局布线适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、布局布线。
适配报告指明了芯片内资源的分配与利用、引脚锁定、设计的布尔方程描述情况。
6、功能仿真和时序仿真。
7、下载。
如果以上的所有过程都没有发现问题,就可以将适配器产生的下载文件通过FPGA/CPLD下载电缆载入目标芯片中。
8、硬件仿真与测试。
2.2 硬件描述语言(VHDL)VHDL简介VHDL(Very-High-Speed Integrated Circuit Hardware Description Language)主要用于描述数字系统的结构,行为,功能和接口。
除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。
VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。
在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。
这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。
VHDL语言的特点1.用VHDL代码而不是用原理图进行设计,意味着整个电路板的模型及性能可用计算机模拟进行验证。
2.VHDL元件的设计与工艺无关,与工艺独立,方便工艺转换。
3.VHDL支持各种设计方法,自顶向下、自底向上或者混合的都可以。
4.可以进行从系统级到逻辑级的描述,即混合描述。
5.VHDL区别于其他的HDL,已形成标准,其代码在不同的系统中可交换建模。
3汽车尾灯控制器的设计过程3.1系统需求分析根据现代交通规则,汽车尾灯控制器应满足以下基本要求:1.汽车正常使用是指示灯不亮2.汽车右转时,右侧的一盏灯亮3.汽车左转时,左侧的一盏灯亮4.汽车刹车时,左右两侧的指示灯同时亮5.汽车夜间行驶时,左右两侧的指示灯同时一直亮,供照明使用3.2汽车尾灯控制器的工作原理汽车尾灯控制器就是一个状态机的实例。
当汽车正常行驶时所有指示灯都不亮;当汽车向右转弯时,汽车右侧的指示灯RD1亮;当汽车向左侧转弯时,汽车左侧的指示灯LD1亮;当汽车刹车时,汽车右侧的指示灯RD2和汽车左侧的指示灯LD2同时亮;当汽车在夜间行驶时,汽车右侧的指示灯RD3和汽车左侧的指示灯LD3同时一直亮。
通过设置系统的输入信号:系统时钟信号CLK,汽车左转弯控制信号LEFT,汽车右转弯控制信号RIGHT,刹车信号BRAKE,夜间行驶信号NIGHT 和系统的输出信号:汽车左侧3盏指示灯LD1、LD2、LD3和汽车右侧3盏指示灯RD1、RD2、RD3实现以上功能。
系统的整体组装设计原理如图3.1(a)以及系统设计整体框图如图3.1(b )所示。
图3.1(a )系统的整体组装设计原理3.3各组成模块原理及程序汽车尾灯控制器有4个模块组成,分别为:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块,以下介绍各模块的详细设计。
时钟分频模块整个时钟分频模块的工作框图如图3.2。
图3.2时钟分频模块工作框图时钟分频模块由VHDL 程序来实现,下面是其中的一段VHDL 代码:ARCHITECTURE ART OF SZ IS CLKCP图3.1(b )SIGNAL COUNT:STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINPROCESS(CLK)BEGINIF CLK'EVENT AND CLK = '1'THENCOUNT <= COUNT + 1;END IF;END PROCESS;CP<= COUNT(3);END ART;汽车尾灯主控模块汽车尾灯主控模块工作框图如图3.3(a)所示以及工作原理框图3.3(b)。
CTRLLEFT LPRIGHT RPBRAKE LRNIGHT BRAKE_LEDNIGHT_LED图3.3 (a)主控模块工作框图图3.3 (b)主控模块工作原理框图数据入口:RIGHT:右转信号;LEFT:左转信号;BRAKE:刹车信号;NIGHT:夜间行驶信号;数据出口:LP:左侧灯控制信号;RP:右侧灯控制信号;LR:错误控制信号;BRAKE_LED:刹车控制信号;NIGHT_LED:夜间行驶控制信号;汽车尾灯主控模块由VHDL程序来实现,下面是其中的一段VHDL代码:ARCHITECTURE ART OF CTRL ISBEGINNIGHT_LED<=NIGHT;BRAKE_LED<=BRAKE;PROCESS(LEFT,RIGHT)V ARIABLE TEMP:STD_LOGIC_VECTOR(1 DOWNTO 0);BEGINTEMP:=LEFT & RIGHT;CASE TEMP ISWHEN "00" =>LP<='0';RP<='0';LR<='0';WHEN "01" =>LP<='0';RP<='1';LR<='0';WHEN "10" =>LP<='1';RP<='0';LR<='0';WHEN OTHERS=>LP<='0';RP<='0';LR<='1';END CASE;END PROCESS;END ART;左边灯控制模块左边灯控制模块的工作框图如图3.4(a)所示以及工作原理框图3.4(b)。
图3.4(a)左边灯控制模块的工作框图图3.4(b)左边灯控制模块的工作原理框图数据入口:CLK:时钟控制信号;LP:左侧灯控制信号;LR:错误控制信号;BRAKE:刹车控制信号;NIGHT:夜间行驶控制信号;数据入口:LEDL:左侧LD1灯控制信号;LEDL:左侧LD2灯控制信号;LEDN:左侧LD3灯控制信号;左边灯控制模块由VHDL程序来实现,下面是其中的一段VHDL代码:ARCHITECTURE ART OF LC ISBEGINLEDB<=BRAKE;LEDN<=NIGHT;PROCESS(CLK,LP,LR)BEGINIF CLK'EVENT AND CLK = '1' THENIF(LR ='0')THENIF(LP = '0')THENLEDL<='0';ELSELEDL<='1';END IF;ELSELEDL <='0';END IF;END IF;END PROCESS;END ART;右边灯控制模块右边灯控制模块的工作框图如图3.5(a)所示以及工作原理框图3.5(b)。