单片机汽车尾灯课程设计报告
(完整word版)51单片机课程设计汽车尾灯控制
华中师范大学武汉传媒学院传媒技术学院电子信息工程2011仅发布百度文库,版权所有.汽车尾灯控制要求:A.使用单片机实现汽车尾灯控制的设计B。
当按不同的按键时,显示不同的转向,并可以显示停止一设计框图:二方案设计:模拟汽车尾灯的设计,硬件制作比较简单,用LED灯就可以很好的模拟汽车尾灯。
而信号的输入也可以用简单的案件来处理。
但汽车在行驶时,灯的处理的实时性急稳定性很重要,如果出现误操作,就很容易出现交通事故。
所以本次设计的重点是在编写程序上,想要出现满意的效果必须要对C编程熟悉,并对按键的输入的实时性以及更重要的稳定性有着高的要求。
三硬件原图设计:1最小系统:2按键部分:3尾灯和仪表部分:四程序流程图:初始化按键扫描LED灯控制退中断五仿真图:六制作:调试:程序设定在中断函数里扫描按键,再通过标志位来改变灯的状态.在实际里按键后灯会有一直亮的情况,再改变扫描的时间,以及改变防抖动处理的时间都得不到理想的状态。
最后发现是中断计数时间过短导致在处理按键时函数已经在中断里按键无法改变标志位,导致灯常亮的情况.通过延长了进入中断时间,这个情况就完全解决了没有再出现常亮情况。
心得体会:通过这次课程设计,是我对C语言处理实际问题的能力。
输入输出通过哟个好的程序框架才能很好的协作。
这也是C语言在处理硬件的好处.也让我对单片机中断的理解,对程序的整体设计方面的学习正是我欠缺的地方。
通过这次让我很好的锻炼了自己.这次课程设计也让我懂得了团队合作的实际意义。
特别是在程序出现了大问题,起初无法解决的,我们一起讨论一起查质料一起学习.最后终于解决的了的喜悦真的很爽.七原程序:#include 〈REG52。
H>#include 〈intrins.h〉unsigned char const discode[] ={0x30,0x06,0x00,0x73};#define const_key_time1 20 //按键去抖动延时的时间#define const_key_time2 20 //按键去抖动延时的时间#define const_key_time3 20 //按键去抖动延时的时间#define const_key_time4 20 //按键去抖动延时的时间#define const_key_time5 20 //按键去抖动延时的时间#define const_display_time1 60 //闪烁#define const_display_time2 120void initial_myself();void initial_peripheral();//void delay_short(unsigned int uiDelayShort);void delay_long(unsigned int uiDelaylong);void T0_time(); //定时中断函数void key_service();//按键服务的应用程序void key_scan();//按键扫描函数放在定时中断里sbit key_sr1=P2^0; //左转键sbit key_sr2=P2^2;//右转键sbit key_sr3=P2^1; //转向归位键sbit key_sr4=P2^3; //停车键sbit left=P2^6;sbit right=P2^5;unsigned char ucKeySec=0; //被触发的按键编号unsigned int uiKeyTimeCnt1=0;//按键去抖动延时计数器unsigned char ucKeyLock1=0;//按键触发后自锁的变量标志unsigned int uiKeyTimeCnt2=0;//按键去抖动延时计数器unsigned char ucKeyLock2=0;//按键触发后自锁的变量标志unsigned int uiKeyTimeCnt3=0;//按键去抖动延时计数器unsigned char ucKeyLock3=0;//按键触发后自锁的变量标志unsigned int uiKeyTimeCnt4=0;//按键去抖动延时计数器unsigned char ucKeyLock4=0; //按键触发后自锁的变量标志unsigned int uiLedTimeCnt1=0; //闪烁计数器unsigned int uiLedTimeCnt2=0;unsigned int uiLedTimeCnt4=0;uidisplayTimeCnt1=0; // 闪烁延时计数器/*//根据原理图得出的共阴数码管字模表code unsigned char dig_table[]={0x3f,//0 序号00x06,//1 序号1 0x5b, //2 序号20x4f,//3 序号30x66,//4 序号4 0x6d, //5 序号50x7d, //6 序号60x07,//7 序号7 0x7f,//8 序号80x6f, //9 序号90x00, //无序号100x40,//—序号11 0x73,//P 序号12};*/void main(){initial_myself();delay_long(100);initial_peripheral();while(1){key_service(); //按键服务的应用程序}}void key_scan()//按键扫描函数放在定时中断里{if(key_sr1==1)//IO是高电平,说明按键没有被按下,这时要及时清零一些标志位{ucKeyLock1=0; //按键自锁标志清零uiKeyTimeCnt1=0;//按键去抖动延时计数器清零}else if(ucKeyLock1==0)//有按键按下,且是第一次被按下uiKeyTimeCnt1++;//累加定时中断次数if(uiKeyTimeCnt1>const_key_time1){uiKeyTimeCnt1=0;ucKeyLock1=1; //自锁按键置位,避免一直触发ucKeySec=1; //触发1号键}}if(key_sr2==1)//IO是高电平,说明按键没有被按下,这时要及时清零一些标志位{ucKeyLock2=0; //按键自锁标志清零uiKeyTimeCnt2=0;//按键去抖动延时计数器清?}else if(ucKeyLock2==0)//有按键按下,且是第一次被按下{uiKeyTimeCnt2++; //累加定时中断次数if(uiKeyTimeCnt2〉const_key_time2)uiKeyTimeCnt2=0;ucKeyLock2=1; //自锁按键置位,避免一直触发ucKeySec=2;//触发2号键}}if(key_sr3==1)//IO是高电平,说明按键没有被按下,这时要及时清零一些标志位{ucKeyLock3=0; //按键自锁标志清零//uiKeyTimeCnt3=0;//按键去抖动延时计数器清?}else if(ucKeyLock3==0)//有按键按下,且是第一次被按下{uiKeyTimeCnt3++; //累加定时中断次数if(uiKeyTimeCnt3>const_key_time3){uiKeyTimeCnt3=0;ucKeyLock3=1;//自锁按键置位,避免一直触发ucKeySec=3; //触发3号键P1=discode[2];left=0;right=0;}}if(key_sr4==1)//IO是高电平,说明按键没有被按下,这时要及时清零一些标志位{ucKeyLock4=0; //按键自锁标志清零uiKeyTimeCnt4=0;//按键去抖动延时计数器清?}else if(ucKeyLock4==0)//有按键按下,且是第一次被按下{uiKeyTimeCnt4++; //累加定时中断次数if(uiKeyTimeCnt4>const_key_time4){uiKeyTimeCnt4=0;ucKeyLock4=1; //自锁按键置位,避免一直触发ucKeySec=4;//触发4号键}}}void key_service()//按键服务的应用程序{switch(ucKeySec) //按键服务状态切换{case 1:// 左转按键while(ucKeySec==1){//uiLedTimeCnt1=0;if(uiLedTimeCnt1〉const_display_time1){P1=discode[0];left=1;if(uiLedTimeCnt1〉const_display_time2)uiLedTimeCnt1=0;}else{P1=0x00;left=0;}}//ucKeySec=0;//响应按键服务处理程序后,按键编号清零,避免一致触发break;case 2:// 右转按键while(ucKeySec==2){//uiLedTimeCnt2=0;if(uiLedTimeCnt2>const_display_time1){P1=discode[1];right=1;if(uiLedTimeCnt2>const_display_time2)uiLedTimeCnt2=0;}else{P1=0x00;right=0;}}//ucKeySec=0;//响应按键服务处理程序后,按键编号清零,避免一致触发break;case 4:while(ucKeySec==4)//停车按键{//uiLedTimeCnt4=0;if(uiLedTimeCnt4>const_display_time1){P1=discode[3];right=1;left=1;if(uiLedTimeCnt4>const_display_time2)uiLedTimeCnt4=0;}else{right=0;left=0;}}// ucKeySec=0;//响应按键服务处理程序后,按键编号清零,避免一致触发break;}}void T0_time() interrupt 1{TF0=0; //清除中断标志TR0=0; //关中断key_scan();//按键扫描函数//key_service();//按键服务的应用程序uiLedTimeCnt1++;//闪烁计数uiLedTimeCnt2++;uiLedTimeCnt4++;TH0=0xf8; //重装初始值(65535-500)=65035=0xfe0bTL0=0x30;TR0=1;//开中断}void delay_long(unsigned int uiDelayLong){unsigned int i;unsigned int j;for(i=0;i〈uiDelayLong;i++){for(j=0;j〈500;j++) //内嵌循环的空指令数量{;//一个分号相当于执行一条空语句}}}void initial_myself() //第一区初始化单片机{P2=0xff;P1=0x00;TMOD=0x01;//设置定时器0为工作方式1TH0=0xf8;//重装初始值(65535-500)=65035=0xfe0b TL0=0x30;}void initial_peripheral() //第二区初始化外围{left=0;right=0;EA=1; //开总中断ET0=1;//允许定时中断TR0=1;//启动定时中断}。
基于单片机与VB汽车尾灯设计报告
基于单片机与VB 汽车尾灯设计报告设计课题:基于单片机的汽车尾灯操纵学校院系:海南师范大学物电学院10 电子一班专业:学生姓名:学号:指导教师:摘要此次的课题是基于单片机的汽车尾灯操纵器,该设计课题要紧由STC89C52RC单片机为核心展开的汽车尾灯操纵电路的设计方法,用发光二极管模拟汽车尾灯,能够用VB 上位机界面和按键开关作为转弯等操纵信号,,同时把信息显示在LCD1 602上。
在VB6.0 环境下,上位机利用MSCOMM 通信控件与单片机之间串口通信实现上位机操纵汽车尾灯。
设计电路能专门好的综合运用我们所学习到的单片机、C语言、VB上位机编程,熟悉电子电路设计的差不多方法。
关键词:STC89C52RC;发光二极管;单片机;C语言;VB 上位机;MSCOMM 控件引言2一・设计任务21.1 任务讲明21.2 任务分析3二・设计方案选择及论证32.1 方案一:采纳555 定时器等构成的汽车尾灯电路32.2 方案二:由STC89C52RC 及其外围电路构成的汽车尾灯操纵器42.3 方案比较和选择42.4 系统框图5三・系统硬件原理介绍53.1 单片机STC89C52RC 介绍53.2 LCD1602 液晶屏介绍63.3 系统结构原理图、器件选择83.4 硬件电路总原理图113.5 硬件设计原理及状态图11四.程序流程124.1 下位机软件程序124.1.1 键盘扫程序设计流程图124.2.1 显示程序设计流程图144.2 VB 上位机界面设计164.2.1 设计方案原理与设计特点分析16五•仿真图实物调试175.1 仿真图175.2 实物图17六•课设总结17参考文献18附录一19引言汽车作为现代交通工具差不多大量进入人们的生活,随着电子技术的进展,关于汽车的操纵电路也差不多从过去的全人工开关操纵进展到了智能化的操纵。
汽车尾灯操纵器是随着汽车智能化技术的进展而迅速进展起来的,汽车尾灯一样基于微处理器的硬件电路结构构成,而正因为硬件电路的局限性,不能随意的更换电路的功能和性能,且可靠性得不到保证,因此对汽车尾灯操纵系统的进展带来局限性,难以满足现代智能化的要求。
基于单片机与VB汽车尾灯控制课程设计报告
课程设计(论文)设计课题:基于单片机的汽车尾灯控制学校院系:师大学物电学院专业: 10电子一班学生:学号:指导教师:摘要本次的课题是基于单片机的汽车尾灯控制器,该设计课题主要由STC89C52RC单片机为核心展开的汽车尾灯控制电路的设计方法,用发光二极管模拟汽车尾灯,可以用VB上位机界面和按键开关作为转弯等控制信号,,并且把信息显示在LCD1602上。
在VB6.0环境下,上位机利用MSCOMM 通信控件与单片机之间串口通信实现上位机控制汽车尾灯。
设计电路能很好的综合运用我们所学习到的单片机、C语言、VB上位机编程,熟悉电子电路设计的基本方法。
关键词:STC89C52RC;发光二极管;单片机;C语言; VB上位机;MSCOMM控件引言 (3)一. 设计任务 (3)1.1 任务说明 (3)1.2 任务分析 (3)二. 设计方案选择及论证 (4)2.1 方案一:采用555定时器等构成的汽车尾灯电路 (4)2.2 方案二:由STC89C52RC及其外围电路构成的汽车尾灯控制器.42.3 方案比较和选择 (4)2.4 系统框图 (5)三. 系统硬件原理介绍 (7)3.1 单片机STC89C52RC介绍 (7)3.2LCD1602液晶屏介绍 (8)3.3系统结构原理图、器件选择 (9)3.4 硬件电路总原理图 (14)3.5硬件设计原理及状态图 (14)四.程序流程 (15)4.1下位机软件程序 (15)4.1.1 键盘扫程序设计流程图 (15)4.2.1 显示程序设计流程图 (17)4.2 VB上位机界面设计 (18)4.2.1设计方案原理与设计特点分析 (18)五.仿真图实物调试 (20)5.1仿真图 (20)5.2实物图 (21)六.课设总结 (21)参考文献 (22)附录一 (23)引言汽车作为现代交通工具已经大量进入人们的生活,随着电子技术的发展,对于汽车的控制电路也已经从过去的全人工开关控制发展到了智能化的控制。
单片机汽车尾灯课程设计报告
1 设计内容及其分析1.1 设计的内容用8个发光二极管模拟8个汽车尾灯(左、右各4个,高电平点亮),用四个开关作为左转弯、右转弯、刹车、双闪控制信号(高电平有效)。
当汽车往前行驶时,8灯全灭。
当汽车转弯时(左、右转弯开关不会同时有效),若右转弯,右边4个尾灯从左至右循环点亮,左边4个灯全灭。
若左转弯,左边4个尾灯从右至左循环点亮,右边4个灯全灭。
汽车刹车时(第2优先级),8个灯全亮。
双闪信号有效时(优先级最高)时,8个灯明、暗闪烁。
1.2 设计内容分析当汽车转弯时(左、右转弯开关不会同时有效),若右转弯,右边4个尾灯从左至右循环点亮,左边4个灯全灭。
若左转弯,左边4个尾灯从右至左循环点亮,右边4个灯全灭。
汽车刹车时(第2优先级),8个灯全亮。
双闪信号有效时(优先级最高)时,8个灯明、暗闪烁。
根据以上分析可以画出尾灯和汽车运行关系表如下所示:1.3 EDA简介1.3.1 EDA技术的概念EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。
EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。
1.3.2 EDA技术的特点利用EDA技术进行电子系统的设计,具有以下几个特点:①用软件的方式设计硬件;②用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;③设计过程中可用有关软件进行各种仿真;④系统可现场编程,在线升级;⑤整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。
因此,EDA技术是现代电子设计的发展趋势。
1.3.3 EDA设计流程典型的EDA设计流程如下:1、文本/原理图编辑与修改。
精品基于单片机与VB汽车尾灯控制_课程设计报告-定
精品基于单片机与VB汽车尾灯控制_课程设计报告-定一、设计背景随着汽车的普及,汽车尾灯的种类也越来越多,并且其功能也逐渐增加。
尤其是近年来,随着电子技术的快速发展,越来越多的高科技尾灯被广泛应用于汽车上,如可控制的LED尾灯、动态转向灯等等。
因此,设计一款基于单片机与VB汽车尾灯控制系统,对于满足用户对高科技尾灯的需求以及提升汽车安全性能具有重要意义。
二、设计目标本设计的目标是开发一款基于单片机与VB的汽车尾灯控制系统,实现以下功能:1. 实现对汽车尾灯的亮灭控制,包括闪灯、警示灯等功能。
2. 实现对汽车尾灯的颜色控制,及颜色的变换。
3. 实现定时控制、自动控制等智能控制模式。
三、设计方案1.系统结构整个汽车尾灯控制系统由单片机和PC机两部分组成。
其中,单片机作为控制主板,通过控制器与各个输出模块进行连接。
PC机则作为上位机,运用VB语言对系统进行图像界面设计,并通过串行通信与单片机进行数据交换。
2.硬件设计硬件设计采用C51系列单片机作为控制主板,通过输入输出芯片与LED灯条进行连接。
具体的硬件设计如下:(1) 控制主板:采用STC89C52单片机芯片作为控制主板,其包含8位8MHz的CPU、32KB的FLASH、256字节的RAM等。
(2) 输入输出芯片:采用74HC595输入输出芯片作为该系统的输入输出控制器,其具有8位移位寄存器,可将数据从串行输入端口传输到并行输出端口。
(3) LED灯条:采用WS2812B LED单元集成的数字灯带,共有60颗LED灯珠,可控制7种颜色和16级亮度。
3.软件设计软件设计中采用VB语言进行系统界面设计以及实现数据传输等功能。
(1) 界面设计:利用VB语言建立系统用户界面,包括LED状态显示模块、模式选择模块、亮度调节模块、颜色选择模块等。
(2) 数据传输:VB语言中通过串口控件,设置串口的相关属性并进行数据的发送与接收,实现与单片机之间的数据交换。
4.主要功能实现(1) 控制模式:系统可以实现多种控制模式,包括手动控制、自动控制、定时控制、跟随控制等。
汽车尾灯实验报告
数字逻辑与数字系统课程设计课程设计作为实践教学的一个重要环节, 对提高创新精神和实践能力、发展个性具在重要作用。
除了必要的验证性实践以训练实验能力和实验结果整理的能力外, 安排综合性课程设计对于提高学生全面应用本课程知识进行分析问题和解决问题的能力具有重要意义。
本章给出了一些经典的数字逻辑与数字系统课程设计题目, 部分题目给出了功能框图及简要分析。
3.1课程设计教学大纲课程设计作为数字逻辑与数字系统课程的重要组成部分, 目的是使学生进一步理解课程内容, 基本掌握数字系统设计和调试的方法, 增加集成电路应用知识, 培养学生实际动手能力以分析、解决问题的能力。
3.1.1课程设计目的和意义按照本学科教学培养计划要求, 在学完专业基础课数字逻辑与数字系统课程后, 应进行课程设计, 其目的是使学生更好地巩固和加深对基础知识的理解, 学会设计中小型数字系统的方法, 独立完成调试过程, 增强学生理论联系实际的能力, 提高学生电路分析和设计能力。
通过实践教学引导学生在指导下有所创新, 为专业课的学习和日后工程实践奠定基础。
3.1.2课程设计基本要求1.教学基本要求要求学生独立完成选题设计, 掌握数字系统设计方法;完成系统的仿真、装配及调试, 掌握数字系统的仿真与调试技术;在课程设计中要注重培养工程质量意识, 并写出课程设计报告。
教师应事先准备好课程任务书、指导学生查阅有关资料, 安排适当的时间进行答疑, 帮助学生解决课程设计过程中的问题。
2.能力培养要求1)通过查阅手册和有关文献资料, 培养学生独立分析和解决实际问题的能力。
2)通过实际电路方案的分析比较、设计计算、元件选取、仿真、安装调试等环节, 掌握简单实用电路的分析方法和工程设计方法。
3)掌握常用仪器设备的使用方法, 学会简单的实验调试, 提高动手能力。
4)综合应用课程中学到的理论知识去独立完成一个设计任务。
5)培养严肃认真的工作作风和严谨的科学态度。
3课程设计报告要求1)课程设计报告要给出结构框图, 对总体设计思想进行阐述, 并给出每个单元逻辑电路且论述其工作原理, 文字说明部分要求内容完整, 言简意赅, 书写工整。
汽车尾灯电路设计报告
汽车尾灯电路设计报告————————————————————————————————作者:————————————————————————————————日期:电子技术课程设计报告设计题目:汽车尾灯控制系统院(部):电气工程与自动化学院专业:电信工程13-4学生姓名: 李磊学号:311308001116指导教师:谢东垒、徐永豪目录汽车尾灯控制系统 (5)摘要 (5)关键词 (5)1引言 (6)1.1设计任务 (6)1.2要求 (6)2汽车尾灯控制电路分析 (8)2.1汽车尾灯控制电路运行状态分析 (8)2.2电路工作整体框图 (10)3所需电路各部分设计 (11)3.1电源电路 (12)3.2脉冲发生电路 (13)3.3按键控制电路 (13)3.4状态产生电路 (14)3.5按键控制电路 (17)3.6译码电路和显示电路 (18)4结束语与心得体会 (19)5元器件清 (20)6参考文献 (21)附件................................................................................................ 错误!未定义书签。
汽车尾灯控制系统摘要:汽车现今已是非常普遍的交通工具,作已大量进入人们的生活,汽车尾灯控制系统已经很常见,本次课题利用数字芯片设计一个集左转、右转和紧急刹车的控制电路系统。
关键词:汽车尾灯控制电路译码电路时钟电路 proteus仿真电路设计1引言汽车现今已是非常普遍的交通工具,作已大量进入人们的生活,随着电子技术的发展,对于汽车的控制电路,也已从过去的全人工开关控制发展到了智能化控制。
在夜晚或因天气原因能见度不高的时候,人们对汽车安全行驶要求很高.汽车尾灯控制系统给大家带来了方便。
汽车尾灯控制器是随汽车智能化技术的发展而迅速发展起来的,汽车尾灯一般是用基于微处器的硬件电路结构构成,正因为硬件电路的局限性,不能随意的更改电路的功能和性能,且可靠性得不到保证,因此对汽车尾灯控制系统的发展带来一定的局限性,难以满足现代汽车的智能化发展,而本课题可解决此问题。
单片机原理课程设计汽车尾灯课程设计
汽车尾灯控制系统一、设计任务与要求.1.1 设计任务本课程要设计一个汽车尾灯控制器,汽车行驶过程中有四种状态:正常行驶、左转弯、右转弯、临时刹车。
设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)。
(1)汽车正常运行时指示灯全灭(2)汽车右转弯时,右侧3个灯按右循环顺序点亮(3)汽车左转弯时,左侧3个灯按左循环顺序点亮(4)汽车临时刹车时所有指示灯同时闪烁1.2 设计思路在汽车尾部左右两侧各有3个指示灯(用6个二极管进行模拟)D1 、D2、 D3和D4、D5、D6。
根据汽车运行的状况,指示灯需具有四种不同的状态:①汽车正向行驶时,左右两侧的指示灯全灭②汽车向右转弯行驶时,右侧的三个指示灯D4、D5、D6循环顺序点亮③汽车向左转弯行驶时,左侧的三个指示灯D1 、D2、 D3循环顺序点亮④汽车临时刹车时,左右两侧指示灯同时闪烁。
由于汽车左右转弯的时候,各有3个指示灯要循环点亮,因此此处需要用一个三进制的计数器来控制译码器按循环顺序输出低电平,以按要求控制共阳极的二极管导通。
此外,在汽车临时刹车时需要所有尾灯同时闪烁,所以要提供一个时钟脉冲控制所有二极管导通与阻断,同时此时钟脉冲还可以给计数器芯片提供工作脉冲。
为使汽车尾灯达到的所要设计最终目的,系统电路分为四个模块:555定时器产生时钟脉冲电路、三进制计数器、开关控制电路、译码与显示驱动电路。
其中,555定时器是用来产生电路所需要的时钟脉冲;三进制计数器是用来产生00→01→10→00…的循环,以控制左右尾灯的循环点亮和熄灭情况;开关控制电路是用来控制设计电路的汽车运行四种状态的转化;译码器74LS138芯片数据分配器是用来实现最后一个模块的显示作用,使得整个电路按照实验目的顺利完成。
开关控制电路通过两个开关来控制汽车尾灯的四种运行状态。
当S1=S0=0时,汽车正常行驶,开关控制电路的输出端G=0、F=1,芯片74LS138不工作,输出全部为高电平,通过与门(74LS00与非门和74LS04非门)输出高电平,使共阴极的发光二极管熄灭。
汽车尾灯控制器课程设计报告
汽车尾灯控制器课程设计报告一、设计背景随着汽车的普及,车辆的安全性也越来越受到人们的关注。
而汽车尾灯作为车辆安全的重要组成部分,其控制器的设计也变得越来越重要。
因此,本次课程设计旨在设计一款汽车尾灯控制器,以提高车辆的安全性。
二、设计目标本次课程设计的目标是设计一款能够控制汽车尾灯的控制器,具有以下特点:1.能够实现尾灯的开关控制;2.能够实现尾灯的闪烁控制;3.能够实现尾灯的亮度调节控制;4.能够实现尾灯的自动开关控制。
三、设计方案本次课程设计采用单片机作为控制器,通过编程实现对尾灯的控制。
具体方案如下:1.硬件设计本次课程设计的硬件部分主要包括单片机、尾灯、按键、电位器等。
其中,单片机作为控制器,通过控制尾灯的开关、闪烁、亮度调节等功能,实现对尾灯的控制。
按键和电位器则用于控制尾灯的开关、闪烁、亮度调节等功能。
2.软件设计本次课程设计的软件部分主要包括单片机的程序设计。
通过编写程序,实现对尾灯的开关、闪烁、亮度调节等功能的控制。
具体实现方式如下:(1)尾灯开关控制:通过按键控制尾灯的开关,实现对尾灯的开关控制。
(2)尾灯闪烁控制:通过编写程序,实现对尾灯的闪烁控制。
可以设置闪烁的频率和时间。
(3)尾灯亮度调节控制:通过电位器控制尾灯的亮度,实现对尾灯亮度的调节控制。
(4)尾灯自动开关控制:通过编写程序,实现对尾灯的自动开关控制。
当车辆行驶时,尾灯自动开启;当车辆停止时,尾灯自动关闭。
四、设计结果经过设计和实现,本次课程设计成功地实现了对汽车尾灯的控制。
具体实现效果如下:1.尾灯开关控制:按下按键,尾灯开启;再次按下按键,尾灯关闭。
2.尾灯闪烁控制:通过编写程序,实现了尾灯的闪烁控制。
可以设置闪烁的频率和时间。
3.尾灯亮度调节控制:通过电位器控制尾灯的亮度,实现了对尾灯亮度的调节控制。
4.尾灯自动开关控制:通过编写程序,实现了对尾灯的自动开关控制。
当车辆行驶时,尾灯自动开启;当车辆停止时,尾灯自动关闭。
电子技术课程设计-汽车尾灯课程设计报告
课程设计说明书课程设计名称:电子技术课程设计题目:汽车尾灯电路设计学生姓名:专业:电气工程与自动化学号: 312008********* 指导教师:赵梅日期:2010年 6月 18成绩课程设计作为数字电子技术和模拟电子技术课程的重要组成部分,目的是一方面使我们能够进一步理解课程内容,基本掌握数字系统设计和调试的方法,增加集成电路应用知识,培养我们的实际动手能力以及分析、解决问题的能力。
本文介绍了一种通过TTL系列产品设计模拟汽车尾灯工作情况电路的方法。
主要阐述了如何通过555系列来制作脉冲产生器,如何利用J-K触发器改制三进制的计数器和译码器的使用等一系列方法。
实验通过发光二极管模拟汽车尾灯来实现了汽车在行驶时候的四种情况:正常行驶,左拐弯,右拐弯,临时刹车。
关键字:汽车尾灯,脉冲,计数器,译码器,行驶情况AbstractCurriculum design as a simulation of digital electronic technology and electronic technology an important component of the course, on the one hand, the purpose of enabling us to further understanding of course content, the basic digital system design and debugging methods, applications of integrated circuits to increase knowledge, foster the ability of our hands as well as analysis, problem-solving abilities.This article describes a series of product design through simulation TTL auto taillight circuit methods work. Mainly on how to produce 555 series pulse generator, how to make use of JK flip-flop ternary system decoder of the counters and the use of a range of methods. Experimental simulation of vehicle through the light-emitting diode taillights to achieve a moving car when the four cases: the normal traffic, left turn, right turn, temporary brake. Keywords: auto lamps, pulse, counters, decoders, traffic situation.目录1 前言 (1)2总体方案设计 (2)2.1 方案论证 (2)2.2 方案选择 (2)3 单元模块模块设计 (5)3.1 三进制计数器电路 (5)3.2 时钟脉冲电路 (5)3.3 汽车尾灯电路 (6)3.4 开关控制电路 (8)3.5 尾灯状态显示电路的设计 (9)4 元器件选择和参数计算 (10)4.1 秒脉冲电路部分 (10)4.2 三进制计数器电路部分 (11)5 电路实验仿真和测试 (13)6设计总结 (14)7 参考文献 (15)附录:电路原理图 (16)1 前言1.1序言汽车尾灯控制电路是数字电路在交通自动控制电路中的典型应用,此系统基本上是模拟汽车运行中的实际情况设计的汽车尾灯,一般都有左、右各三个灯。
基于单片机.汽车尾灯的控制
word完美格式目录绪论 (1)1、设计系统的介绍及研究内容 (2)1.1.1设计软件PROTUSE的介绍 (2)1.2 研究的内容 (2)2、设计方案规划及设计 (3)2.1系统设计框图 (3)2.2整体电路工作原理 (4)2.3汽车尾灯工作流程图 (4)2.4 仿真原理图的设计 (5)3.主程序 (6)4仿真 (7)4.1.1使用的仿真软件 (7)4.1.2在仿真软件中完整的电路图 (7)5、主要元件清单 (9)6、结论 (10)7、参考文献 (10)绪论汽车作为现代交通工具已经大量进入人们的生活,随着电子技术的发展,对于汽车的控制电路,也从过去的全人工开关控制发展到智能化控制。
在夜晚或者天气原因能见度不高的时候,人们对汽车安全行驶要求很高。
汽车尾灯控制系统给人们带来了方便。
汽车尾灯控制器是随汽车智能化技术的发展而迅速发展起来的,汽车尾灯一般是用基于微处理器的硬件电路结构构成,正因为硬件电路的局限性,不能随意的更待电路的功能和性能,而且可靠性的不到保障,因此对汽车尾灯控制系统的发展带来一定的局限性。
难以满足现代汽车的智能化发展。
随着仿真技术的发展,数学系统的设计技术和设计工具发生了深刻的变化。
利用硬件描述语言对数学系统的硬件电路进行描述是仿真书的关键技术之一。
本文采用单片机技术,利用PROTUSE工作平台,设计一种基于单品阿基的汽车尾灯控制系统,并对系统经行仿真及验证。
用一片单片机芯片实现从而大大简化了系统结构,降低了成本。
提高了系统的先进性和可靠性,能实现控制器的系统编程。
采用这种期间开发的数字系统其升级与改进极为方便。
LED由于其具有体积小、寿命长、低能耗、耐震动、无频闪及反应速度快的等优点,已成为备受关注的新一代车灯光源技术。
目前通用的骑车车尾灯瓜园任然是白炽灯和节能灯占占主导地位,加上红、黄等配光透镜实现配光要求,缺点是易损坏、耗电量大、寿命短、激励响应时间长,给道路佳通带来安全隐患等。
汽车尾灯控制电路(课程设计报告)
摘要 (1)一、设计任务 (2)二、实验目的 (2)三、总体设计方案 (2)3.1 设计思路 (2)3.2 设计原理 (3)四、电路组成 (3)4.1 模式控制电路 (3)4.2 时钟信号源 (4)4.3 驱动电路与显示电路 (4)五、硬件电路安装、调试 (6)5.1 遇到的主要问题 (6)5.2 现象记录与原理分析 (6)5.3 解决措施及效果 (6)六、仿真结果 (6)七、实验总结与体会 (9)八、参考文献 (9)九、附录 (9)随着经济的发展,汽车越来越被人们所需要,而由此也引发了一系列问题。
比如,因为汽车突然转向所引发的车祸经常出现。
如果汽车转弯可以通过尾灯的状态变化来确定,就可以提示司机、行人朋友们车子正在转弯,一定程度的避免车祸的发生。
因此,本方案设计了一个“汽车尾灯控制电路”。
“汽车尾灯控制电路”作为电子技术基础课程的一个实践,利用基本的芯片:双向移位寄存器74LS194,二输入与非门74LS00、555定时器及电阻、电容进行搭建。
综合数字电路和模拟电路的知识,提升了我们处理实际问题的能力,有助于增强我们将理论转为实际的意识,是一种很好的锻炼和学习方式。
【关键词】:汽车尾灯控制电路;74LS194;74LS00;555定时器。
一、设计任务设计一个汽车尾灯控制电路,要求汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按右循环顺序点亮;在左转弯时,左侧3个指示灯按左循环顺序点亮;在临时刹车时,所有指示灯同时点亮。
二、实验目的1、锻炼学生综合运用电子技术基础知识以及动手能力;2、提高学生使用中规模集成芯片以及调试较大型电子系统的能力;3、使学生了解基本逻辑单元电路在实际生活中的应用,为今后进行复杂的综合型电子系统的设计和调试打下基础。
三、总体设计方案3.1 设计思路分析以上设计任务,由于汽车左转弯或右转弯时,3个指示灯循环点亮,所以用双向移位寄存器74LS194顺序输出高电平,从而控制尾灯按要求点亮。
基于单片机 AT89C51 的汽车尾灯控制电路课程设计解读
物理与电子信息系课程设计报告课程名称:单片机课程设计题目:汽车尾灯的设计学生姓名:李海标学号:11409321 学生姓名:唐凯学号:11409310 系部:物理与电子信息系专业年级:电子信息工程专业2011级指导教师:余胜职称:副教授湖南人文科技学院物理与电子信息系制目录摘要.................................................................................................................................. - 1 - 1、设计课题任务、功能要求说明及总体方案介绍................................................................ - 2 -1.1设计课题任务............................................................................................................... - 2 -1.2功能要求说明............................................................................................................... - 2 -1.3设计课题总体方案介绍及工作原理说明................................................................... - 2 -1.3.1汽车尾灯的设计思路与频率计算................................................................... - 2 -1.3.2AT89C51芯片介绍....................................................................................... - 3 -2、设计课题硬件系统的设计.................................................................................................... - 6 -2.1设计课题硬件系统各模块功能简要介绍................................................................... - 6 -2.1.1复位电路........................................................................................................... - 6 -2.1.2时钟振荡电路................................................................................................... - 6 -2.1.3独立键盘电路................................................................................................... - 7 -2.1.4 LED显示电路................................................................................................. - 8 -2.2设计课题电路原理图、PCB 图、元器件清单.......................................................... - 8 -2.2.1 原理图............................................................................................................ - 8 -2.2.2 PCB图........................................................................................................... - 8 -2.2.3 仿真图............................................................................................................ - 8 -2.2.4 元器件清单.................................................................................................... - 8 -3、设计课题软件系统的设计.................................................................................................... - 9 -3.1设计课题使用单片机资源的情况............................................................................... - 9 -3.1.1 键盘设定........................................................................................................ - 9 -3.1.2 发光二级管显示设定.................................................................................... - 9 -3.2设计课题软件系统程序流程框图............................................................................... - 9 -3.2.1 主程序流程图.................................................................................................. - 9 -3.2.2键扫程序流程图............................................................................................. - 10 -3.2.3延时程序流程图............................................................................................. - 11 -3.2.4 显示程序流程图............................................................................................ - 12 -3.3设计课题软件系统程序清单..................................................................................... - 12 -4、仿真结果与误差分析 ......................................................................................................... - 13 -4.1汽车尾灯控制电路的使用说明................................................................................. - 13 -4.2汽车尾灯控制仿真结果............................................................................................. - 13 -4.3硬件调试 .................................................................................................................... - 14 -4.4设计体会 .................................................................................................................... - 15 - 致谢 ....................................................................................................................................... - 16 - 参考文献 ................................................................................................................................... - 17 - 附录 ....................................................................................................................................... - 18 -一、原理图........................................................................................................................ - 18 -二、PCB图 ........................................................................................................................ - 19 -三、仿真电路图................................................................................................................ - 19 -四、设计课题元器件清单................................................................................................ - 20 -五、程序清单.................................................................................................................... - 21 -摘要汽车作为现代交通工具已经大量进入我们的生活随着科技的发展对于汽车的控制电路也已从过去的全人工开关控制发展到了智能化控制。
汽车尾灯控制器课程设计报告
汽车尾灯控制器课程设计报告一、引言汽车尾灯控制器是一种用于控制汽车尾灯亮灭的电子设备,广泛应用于汽车制造和维修领域。
本课程设计旨在通过设计一个简单的汽车尾灯控制器,让学生了解并掌握基本的电路设计和程序编写技能。
二、课程设计目标1.了解汽车尾灯原理和电路结构;2.学习PCB设计软件的使用方法;3.熟悉单片机编程语言;4.掌握基本的电路设计和调试技能。
三、课程设计内容1.硬件部分(1)根据要求选购所需元器件,包括单片机、继电器、LED等;(2)进行原理图设计,确定电路结构和连接方式;(3)使用PCB设计软件进行布线,并打印出PCB板;(4)焊接元器件到PCB板上,并进行测试。
2.软件部分(1)学习单片机编程语言,如C语言等;(2)编写程序,实现对继电器和LED的控制;(3)进行测试,调试程序并优化。
四、课程设计流程1.准备工作:选购元器件并准备好工具。
2.硬件部分:(1)根据原理图进行布线;(2)使用PCB设计软件进行布线;(3)打印出PCB板;(4)焊接元器件到PCB板上,并进行测试。
3.软件部分:(1)学习单片机编程语言;(2)编写程序,实现对继电器和LED的控制;(3)进行测试,调试程序并优化。
五、课程设计成果完成一个功能完整的汽车尾灯控制器,能够实现对汽车尾灯的控制。
六、总结本课程设计通过实际操作,让学生深入了解了汽车尾灯控制器的原理和电路结构,并掌握了基本的电路设计和调试技能。
同时,通过编写程序实现对继电器和LED的控制,让学生熟悉了单片机编程语言。
这些知识和技能对于学生未来从事相关领域的工作具有重要意义。
汽车尾灯控制器课程设计报告
汽车尾灯控制器课程设计报告一、引言随着汽车的不断发展,现代汽车的电子设备越来越复杂,其中尾灯控制器是汽车电子控制系统中的重要组成部分。
本篇文章将介绍汽车尾灯控制器的课程设计报告。
二、设计目的本次课程设计的目的是设计一款能够控制汽车尾灯的电路板,实现灯光的开关、闪烁等功能。
同时,要求设计的控制器具有稳定、可靠、安全等特点,满足汽车电子控制系统的要求。
三、设计原理本课程设计采用了单片机控制的方式,通过程序控制实现灯光的开关、闪烁等功能。
具体实现方式如下:1.硬件设计本设计采用了AT89S52单片机作为控制器,驱动4个LED灯模拟汽车尾灯的功能。
同时,为了保证电路的稳定性,本设计还添加了电容、电阻等元件,保证电路的稳定性和可靠性。
2.软件设计本设计的软件部分采用了C语言编程,通过程序控制实现灯光的开关、闪烁等功能。
其中,程序通过读取开关的状态,控制LED灯的亮灭。
同时,为了保证程序的可靠性和安全性,本设计还添加了多重保护机制,保证程序在异常情况下的正常运行。
四、设计过程1.硬件设计本设计首先进行了电路图的设计,包括单片机的引脚连接、电容、电阻等元件的连接,保证电路的稳定性和可靠性。
2.软件设计本设计的软件部分采用了C语言编程,通过程序控制实现灯光的开关、闪烁等功能。
同时,为了保证程序的可靠性和安全性,本设计还添加了多重保护机制,保证程序在异常情况下的正常运行。
五、设计结果经过测试,本设计实现了控制汽车尾灯的功能。
同时,通过多重保护机制,保证程序在异常情况下的正常运行,保证了汽车电子控制系统的稳定性和安全性。
六、设计总结本课程设计通过单片机控制的方式,实现了控制汽车尾灯的功能。
同时,通过电容、电阻等元件的添加,保证了电路的稳定性和可靠性。
通过多重保护机制,保证程序在异常情况下的正常运行,保证了汽车电子控制系统的稳定性和安全性。
这对于我们研究汽车电子控制系统的发展具有重要的意义。
基于单片机汽车尾灯控制电路设计任务书
题目
(包括副标题)
基于单片机汽车尾灯控制电路设计
教师姓名
xxx
系别
xxx
职称
xxx
学生姓名
xxx
班级
xxx
学号
Xxx
课题成果形式
论文■设计说明书□实物■软件□其它□
1.毕业设计(论文)课题任务的内容和要求(如原始数据、技术要求、工作要求等):
1、毕业设计的主要内容:
1)设计并制作汽车尾灯控制电路设计。
1)完成电子系统的方案设计,技术调试,硬件实现。
2)完成技术指标中的各项要求为优秀。
2.毕业设计(论文)工作进度计划:
周 次
工作内容
第一周
第二周
第三
方案论证、购买元件
制作电路、程序设计
系统制作、整体调试
总结论文、准备答辩
教研室(学科组)主任签字:
2)完成相关的技术文档和毕业设计论文。
2、毕业设计的主要技术指标。
1)有四个电键,左转 右转 刹车和检查功能。
2)按下左转或右转电键时,左侧或右侧的3个汽车尾灯按照左循环或右循环的顺序依次点亮。
3)按下刹车电键时,汽车所有的尾灯同时闪烁。
4) 按下检测电键时,汽车所有尾灯点亮。
3、毕业设计的基本要求:
课程设计报告报告—-汽车尾灯
课程设计任务书学生:吴舟专业班级:电子科学与技术0801班指导教师:吴友宇工作单位:信息工程学院题目: 汽车尾灯控制器的电路设计初始条件:本设计既可以使用中、小规模集成电路芯片7400、7404、74138、7476、7486和其它器件实现对汽车尾灯显示的控制功能。
本设计也可以使用单片机系统构建简易频率计。
工作电源Vcc为12V。
电路组成框图如图1所示:图1汽车尾灯控制电路图要求完成的主要任务: 〔包括课程设计工作量及技术要求,以及说明书撰写等具体要求〕1、课程设计工作量:1周。
2、技术要求:设汽车尾部左右两侧各有3个指示灯〔用发光管模拟〕,要:①汽车正常行驶时,尾灯全部熄灭。
②当汽车右转弯时,右侧3个指示灯按右循顺序点亮。
③当汽车左转弯时,左侧3个指示灯按左循顺序点亮。
④临时刹车时,所有指示灯同时闪烁。
⑤选择电路方案,完成对确定方案电路的设计。
计算电路元件参数与元件选择、并画出总体电路原理图,阐述根本原理。
制作实际运行装置。
3、查阅至少5篇参考文献。
按?理工大学课程设计工作规?要求撰写设计报告书。
全文用A4纸打印,图纸应符合绘图规。
时间安排:1、2010年6月28日集中,作课设具体实施方案与课程设计报告格式的要求说明。
2、2010年6月28日,查阅相关资料,学习电路的工作原理。
2、2010年6月29日至2010年6月29日,方案选择和电路设计。
2、2010年6月30日至2010年7月1日,电路调试和设计说明书撰写。
3、2010年7月2日上交课程设计成果及报告,同时进展辩论。
课设答疑地点:鉴主13楼电子科学与技术实验室。
指导教师签名:年月日系主任〔或责任教师〕签名:年月日摘要在汽车驾驶中,为保证平安形式需要各种警示灯辅助驾驶,如:汽车在启动、转弯和刹车时,尾灯的亮灭时有一定规律的。
本文通过观察设计了汽车尾灯控制器,当汽车刹车时,尾灯不停地闪烁;当汽车左转弯时,左侧的尾灯按左方向循序亮;当汽车右转弯时,右侧的尾灯按有方向循序亮。
汽车尾灯课程设计报告
汽车尾灯课程设计报告
汽车尾灯是汽车的重要部件之一,它能够在夜间和恶劣天气条件下提供车辆的低头灯亮起后的信息,有效的提高了行车安全。
本次课程设计旨在通过对汽车尾灯原理、设计和制作的探讨,让学生了解汽车尾灯的基本知识和相关的技术,提高学生对汽车尾灯的认知和专业技术能力。
设计内容:
本次课程设计主要包括以下内容:
1.汽车尾灯的原理和功能:介绍汽车尾灯的基本原理及其在提高汽车行车安全方面的作用。
2.汽车尾灯的设计和制作:讲解汽车尾灯的设计原则和制作过程,包括:尾灯外壳设计、尾灯灯泡选择、灯泡接线、尾灯线束制作、尾灯装配和测试。
3.汽车尾灯的故障排查和维护:介绍汽车尾灯的常见故障及其原因,并讲解尾灯的维护方法。
设计步骤:
本次课程设计分为四个步骤:
1.理论学习阶段:学生通过阅读相关资料和视频学习汽车尾灯的原理和功能,了解尾灯灯泡、线束等零件的作用和特点。
2.实验操作阶段:学生根据教师的指导和案例,亲手制作汽车尾灯,学习其设计和制作的过程,熟悉相关的工具和设备。
3.故障排查阶段:通过教师讲解和实例操作,学生掌握尾灯的常见故障和排查方法。
4.测试评估阶段:对学生进行实际操作考核和知识问答测试,评估其对汽车尾灯的理论和实践能力。
预期效果:
通过本次课程设计,学生将掌握汽车尾灯的基本知识和专业技能,具有制作和维修汽车尾灯的能力。
同时,学生还能培养工程实践能力、创新精神和团队精神,提高自身的职业素养和竞争力。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
1 设计内容及其分析1.1 设计的内容用8个发光二极管模拟8个汽车尾灯(左、右各4个,高电平点亮),用四个开关作为左转弯、右转弯、刹车、双闪控制信号(高电平有效)。
当汽车往前行驶时,8灯全灭。
当汽车转弯时(左、右转弯开关不会同时有效),若右转弯,右边4个尾灯从左至右循环点亮,左边4个灯全灭。
若左转弯,左边4个尾灯从右至左循环点亮,右边4个灯全灭。
汽车刹车时(第2优先级),8个灯全亮。
双闪信号有效时(优先级最高)时,8个灯明、暗闪烁。
1.2 设计内容分析当汽车转弯时(左、右转弯开关不会同时有效),若右转弯,右边4个尾灯从左至右循环点亮,左边4个灯全灭。
若左转弯,左边4个尾灯从右至左循环点亮,右边4个灯全灭。
汽车刹车时(第2优先级),8个灯全亮。
双闪信号有效时(优先级最高)时,8个灯明、暗闪烁。
根据以上分析可以画出尾灯和汽车运行关系表如下所示:1.3 EDA简介1.3.1 EDA技术的概念EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。
EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。
1.3.2 EDA技术的特点利用EDA技术进行电子系统的设计,具有以下几个特点:①用软件的方式设计硬件;②用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;③设计过程中可用有关软件进行各种仿真;④系统可现场编程,在线升级;⑤整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。
因此,EDA技术是现代电子设计的发展趋势。
1.3.3 EDA设计流程典型的EDA设计流程如下:1、文本/原理图编辑与修改。
首先利用EDA工具的文本或图形编辑器将设计者的设计意图用文本或图形方式表达出来。
2、编译。
完成设计描述后即可通过编译器进行排错编译,变成特定的文本格式,为下一步的综合做准备。
3、综合。
将软件设计与硬件的可实现性挂钩,是将软件转化为硬件电路的关键步骤。
4、行为仿真和功能仿真。
利用产生的网表文件进行功能仿真,以便了解设计描述与设计意图的一致性。
5、适配。
利用FPGA/CPLD布局布线适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、布局布线。
适配报告指明了芯片内资源的分配与利用、引脚锁定、设计的布尔方程描述情况。
6、功能仿真和时序仿真。
7、下载。
如果以上的所有过程都没有发现问题,就可以将适配器产生的下载文件通过FPGA/CPLD下载电缆载入目标芯片中。
8、硬件仿真与测试。
1.4 硬件描述语言(VHDL)1.4.1 VHDL的介绍VHDL(Very-High-Speed Integrated Circuit Hardware Description Language)主要用于描述数字系统的结构,行为,功能和接口。
除了含有许多具有硬件特征的语句外,VHDL 的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。
VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。
在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。
这种将设计实体分成内外部分的概念是VHDL系统设计的基本1.4.2 VHDL语言的特点1、用VHDL代码而不是用原理图进行设计,意味着整个电路板的模型及性能可用计算机模拟进行验证。
2、VHDL元件的设计与工艺无关,与工艺独立,方便工艺转换。
3、VHDL支持各种设计方法,自顶向下、自底向上或者混合的都可以。
4、可以进行从系统级到逻辑级的描述,即混合描述。
5、VHDL区别于其他的HDL,已形成标准,其代码在不同的系统中可交换建模。
2 设计的目的及意义2.1 设计的目的其一、设计一个能适应现代汽车智能化发展要求的汽车尾灯控制电路。
改善以前的汽车尾灯控制系统,降低汽车尾灯控制器的生产成本。
其二、学好VHDL这门硬件描述语言,加深对VHDL语言知识的理解和掌握,提高学习能力和创新能力,使自己适应不断发展的21世纪。
2.2 设计的意义随着(EDA)仿真技术的发展,数字系统的设计技术和设计工具发生了深刻的变化。
利用硬件描述语言(VHDL)对数字系统的硬件电路进行描述是EDA的关键技术之一。
VHDL语言是目前主流的硬件描述语言,它具有很强的电路描述和建模能力,具有与具体电路无关和与设计平台无关的特性,在语言易读性和层次化结构方面表现出强大的生命力和应用潜力。
随着人们生活水平的提高,汽车的消费量越来越大。
因为人们也越来越忙,不管是夜晚还是阴雨、大雾等天气原因的影响,人们都开着车在纵横交错的马路上行驶,所以人们对汽车安全行驶的要求也越来越高,汽车尾灯控制系统给大家带来了极大的方便。
汽车尾灯控制系统是随着汽车智能化技术的发展而发展起来的,汽车尾灯一般是用于微处理器的硬件结构构成,正是因为硬件电路的局限性,不但不能随意的更改电路内部功能和性能,而且可靠性得不到保证,因此对汽车尾灯控制系统的发展带来一定的局限性,难以满足现代汽车的智能化发展。
为了提高人们因夜晚或因天气原因在纵横交错的马路上驾驶的安全系数,也是为了减少交通事故的发生。
我们采用了先进的EDA技术,Maxplus Ⅱ工作平台和VHDL语言,设计了一种基于FPGA的汽车尾灯控制系统,并对系统进行了仿真机验证。
用一片FPGA芯片实现了现代汽车智能化的尾灯控制系统。
通过仿真及验证的结果分析,完全适应现代汽车智能化发展要求。
不但简化了以前的汽车尾灯控制系统结构,大大降低了生产成本,而且提高了系统的先进性和可靠性。
能实现控制器的系统编程。
采用这种器件开发的数字系统其升级与改进非常方便。
这一控制电路,结构简单、性能稳定、操作方便、抗干扰能力强。
将它应用于现代汽车,不受黑夜或大雾、阴雨天气因素的影响,可以提高安全行驶,避免交通事故的发生。
真正的让消费者驾驶汽车的方便和安全。
3 设计步骤3.1 总体电路原理框图通过1.2的内容的分析,可以画出汽车尾灯控制电路的总体设计框图如下所示:图3.1 汽车尾灯控制电路设计总体框图3.2 功能表根据设计项目要求和电路原理框图可以画出汽车尾灯控制功能表如下所示:3.3 汽车尾灯控制各组成模块及相应程序代码3.3.1 各组成模块实现的主要功能是通过开关控制从而实现汽车尾灯的点亮方式。
汽车尾灯控制器有4个模块组成,分别为:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块,以下介绍各模块的详细设计。
3.3.2 时钟分频模块整个时钟分频模块的工作框图如图3.2所示。
图3.2时钟分频模块工作框图时钟分频模块由VHDL程序来实现,下面是其中的一段VHDL代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY fp ISPORT ( clk :IN STD_LOGIC;q1:OUT STD_LOGIC);END ENTITY fp;ARCHITECTURE zero OF fp ISSIGNAL temp1: STD_LOGIC_VECTOR(5 DOWNTO 0);SIGNAL temp2: STD_LOGIC;BEGINPROCESS(clk)BEGINIF(clk'EVENT AND clk = '1') THENIF temp1="100110" THEN temp2<=not temp2;temp1<="000000";ELSE temp1<=temp1+1;END IF;END IF;END PROCESS ;q1<=temp2;END ARCHITECTURE zero;3.3.3 汽车尾灯主控模块汽车尾灯主控模块工作框图如图3.3所示。
图3.3 主控模块工作框图汽车尾灯主控模块由VHDL程序来实现,下面是其中的一段VHDL代码:library ieee;use ieee.std_logic_1164.all;entity ctrl isport(left,right,flash,brake:in std_logic;lp,rp,sc,ss:out std_logic);end ctrl;architecture bh of ctrl isbeginprocess(left,right,flash,brake)variable a:std_logic_vector(3 downto 0);begina:=left&right&brake&flash;case a iswhen"0000"=>lp<='0';rp<='0';sc<='0';ss<='0';when"1000"=>lp<='1';rp<='0';sc<='0';ss<='0';when"0100"=>rp<='1';lp<='0';sc<='0';ss<='0';when"0010"=>rp<='0';lp<='0';sc<='1';ss<='0';when others=>lp<='0';rp<='0';sc<='0';ss<='1';end case;end process;end bh;3.3.4左边灯控制模块左边灯控制模块的工作框图如图3.4所示。
图3.4左边灯控制模块的工作框图左边灯控制模块由VHDL程序来实现,下面是其中的一段VHDL代码:library ieee;use ieee.std_logic_1164.all;entity lc isport(lp,clk,ss,sc:in std_logic;l3,l2,l1,l0:out std_logic);end lc;architecture one of lc isbeginprocess(clk,ss,sc)variable tmp:std_logic_vector(3 downto 0);beginIF clk'EVENT AND clk='1' THENIF ss='1' THEN tmp:=not tmp;ELSIF sc='1' THEN tmp:="1111";--ELSIF clk'EVENT AND clk='1' THENELSIF lp='1' THENIF tmp ="0000" THEN tmp:="0001";ELSE tmp:= tmp (2 DOWNTO 0)&'0';END IF;ELSIF lp='0' THEN tmp:="0000";END IF;END IF;l3<= tmp(3)l2<= tmp(2);l1<= tmp(1);l0<= tmp(0);end process;end one;3.3.5右边灯控制模块右边灯控制模块的工作框图如图3.5所示。