实验4-用十六进制数形式显示
虚拟仪器技术习题答案
习题答案第1章1. 如何弹出工具模板?答: 1.点击主菜单查看,在下拉列表中选择工具选板。
2.在前面板和流程图的任意空白处按住shift 点击右键。
2.如何进行前面板编辑区与流程图编辑区的切换。
答: 1.点击主菜单窗口,在下拉列表中选择显示程序框图或显示前面板。
2.直接使用快捷键ctrl+E 。
3.传统仪器和虚拟仪器各有何优点?虚拟仪器能否取代传统仪器?虚拟仪器具有传统独立仪器无法比拟的优势,但它并不否定传统仪器的作用,它们相互交叉又相互补充,相得益彰。
在高速度、高带宽和专业测试领域,独立仪器具有无可替代的优势。
在中低档测试领域,虚拟仪器可取代一部分独立仪器的工作,但完成复杂环境下的自动化测试是虚拟仪器的拿手好戏,是传统的独立仪器难以胜任的,甚至不可思议的工作。
4. 编写程序计算以下算式,写出计算结果,并写出四个以上所用控件或函数名称。
X 45542750201162412022854⨯+-+÷-⨯+,6252.73811253178÷-⨯++X 算式1前面板和运行结果算式1流程图算式2 前面板和运行结果算式2流程图第2章1. 简述NI ELVIS系统的基本组成。
答:NI ELVIS系统实际上就是将LabVIEW和NI 的DAQ数据采集卡相结合,综合应用得到一个LabVIEW非常好的教学实验平台。
它包括硬件和软件两部分。
硬件包括一台可运行LabVIEW的计算机、一块多功能数据采集卡、一根68针电缆和NI ELVIS教学实验操控工作台。
软件则包括LabVIEW开发环境、NIDAQ、可以针对ELVIS硬件进行程序设计的一系列LabVIEW API和一个基于LabVIEW设计虚拟仪器软件包。
2. 简述NI ELVIS系统中信号发生器的两种控制方式。
答:1.硬件面板控制。
硬件面板与软件控制切换按钮可控制面板控制指示灯的亮灭,仅在指示灯亮时,面板上的所有按钮才对发出的信号起作用。
波形选择按钮可控制发出的信号是正弦波信号、方波信号还是三角波信号。
汇编语言源程序运行步骤和DEBUG的使用
汇编语言源程序运行步骤和DEBUG的使用一、实验目的1.熟悉汇编语言源程序书写格式。
2.熟悉汇编语言源程序编辑、汇编、连接、执行步骤。
3.练习DEBUG常用命令的使用。
4.熟悉8086的寄存器。
5.了解程序的执行过程。
二、实验预习要求1、认真阅读预备知识中汇编语言的上机步骤的说明,熟悉汇编程序的建立、汇编、连接、执行、调试的全过程。
2.预习使用DEBUG 检查/修改寄存器内容、查询存储器内容的命令。
3.预习使用DEBUG单步、断点及连续执行程序的命令。
三、实验内容1.编辑以下程序,汇编连接后运行,将输出'HOW ARE YOU?’。
本习题主要目的:熟悉汇编语言源程序的书写格式;熟悉汇编语言源程序的编辑、汇编、连接、运行。
DA TA SEGMENTBUF DB 'HOW ARE YOU?$'DA TA ENDSCODE SEGMENTASSUME CS:CODE,DS:DA TASTART: MOV AX,DA TAMOV DS,AXMOV DX,OFFSET BUFMOV AH,09HINT 21HMOV AH,4CHINT 21HCODE ENDSEND START2.DEBUG 调试以下程序,查看DATA段中定义的数据在内存中的存放方式,并单步执行查看每一语句执行后相关寄存器的内容,并解释为何取该值:DA TA SEGMENT ;(1)DB 34H,12H ;(2)B DW 1234H ;(3)C DD 0 ;(4)D DB -1,15/3 ;(5)E DB '012' ;(6)F DW 'AB','C' ;(7)G DW ? ;(8)H DB 5 DUP(0) ;(9)I DW $-OFFSET H ;(10)DA TA ENDS ;(11)CODE SEGMENT ;(12)ASSUME CS:CODE,DS:DA TA ;(13)START: MOV AX,CODE ;(14)MOV DS,AX ;(15)MOV BX,SEG A ;(16)MOV CX,OFFSET B ;(17)MOV DX,TYPE C ;(18)MOV AH,4CH ;(19)INT 21H ;(20)CODE ENDS ;(21)END START ;(22)3.下面是第1题采用将主程序定义为远程的程序返回DOS的结束方式,将其编辑、连接、运行,熟悉汇编源程序不同的结束方式。
汇编 子程序设计
实验四子程序设计一、实验目的(1)掌握汇编语言子程序设计方法。
(2)掌握主程序与子程序之间的调用关系及调用方法。
(3)掌握通过寄存器传送参数的方法。
二、实验环境(1) 硬件环境:计算机系统windows;(2) 软件环境:装有MASM、DEBUG、LINK、等应用程序。
三、实验内容(方法步骤):编程实现:十进制到十六进制数转换程序。
程序要求:从键盘取得一个十进制数,然后把该数以十六进制形式在屏幕上显示出来。
四、实验说明(1) 键盘输入的十进制数(ASCII),要将它转换成二进制存放。
⇒子程序:DECIBIN(2) 二进制转换成十六进制数并显示⇒子程序:BINIHEX(3) 为避免屏幕上的重叠,必须有回车和换行功能。
⇒子程序:CRLF用三个子程序联合实现题目要求⇒联合由主程序达到。
将BX寄存器作为过程之间传送转换数据的“交通员”。
五、实验步骤(1)编辑、汇编源程序,生成相应的可执行文件(.EXE)(2)检查程序执行的结果是否正确。
六、流程图主程序流程图如下图:子程序CRLF流程图如下图:子程序DECIBIN流程图如下图:子程序BINIHEX流程图如下图:七、源程序data segmentdata endscode segmentassume cs:code,ds:data main:call DECIBINcall CRLFcall BINIHEXmov ah,4Chint 21hDECIBIN PROCMOV BX,0NEWCHAR:MOV AH,1INT 21HSUB AL,30HJL EXITCBWXCHG AX,BXMOV CX,10DMUL CXXCHG AX,BXADD BX,AXJMP NEWCHAR EXIT:RETDECIBIN ENDPCRLF PROC NEARMOV AH,02HMOV DL,0AHINT 21HMOV AH,02HMOV DL,0DHINT 21HRETCRLF ENDPBINIHEX PROC NEAR START:MOV CH,4NOTATE:MOV CL,4ROL BX,CLMOV AL,BLAND AL,0FHADD AL,30HCMP AL,3AHJL PRINTITADD AL,7HPRINTIT:MOV DL,ALMOV AH,2INT 21HDEC CHJNZ NOTATERETBINIHEX ENDPcode endsend main八、问题思考(1)当输入“#”时,退出主程序,如何修改程序?(2)说明用寄存器传送参数的过程及其具体方法,各子程序的应用。
《汇编语言程序设计》实验指导书
实验一简单程序编制和上机过程一.实验目的1.掌握计算机常用代码的软件转换技术。
2.熟悉DEBUG软件的使用。
3.掌握简单程序的编程方法。
二.实验内容代码转换是计算机和I/O设备进行信息交换的重要技术之一。
各种I/O设备所提供和接收的数据编码不尽相同。
为了处理这些信息,计算机必须将各种不同编码形式的信息转换成别种适当的数据形式。
例如,键盘输入的数据均为ASC Ⅱ码形式存储在内存中,通常要求将其转换成相应的数据形式。
一般实现代码转换有两种方法:1.软件法利用CPU的算术逻辑运算功能,通过运算或查表实现各种数据代码间的转换。
该方法经济但速度慢。
2.硬件法利用专用代码转换集成电路进行转换。
如74LS74可把BCD码转换为七段代码。
这种方法需要硬件费用,但速度快。
本实验要求掌握软件编码转换技术。
计算机常用的代码有二进制、八进制、十六进制、BCD码、ASCⅡ码、七段码等,它们之间的相互关系如下表所示。
本次试验的内容是ASCⅡ码转换为未组合BCD码设从键盘输入十进制数的ASCⅡ码已存放在起始地址CS :150H的单元中,把它转换成未组合BCD码后,再分别存入起始地址为CS:15AH的单元中。
若输入的不是十进制数的ASCⅡ码,则相应存放结果单元的内容为“FF”。
提示:1.组合BCD码是一位十进制数字占一个字节,高半字节为0,低半字节为该十进制数字的BCD码。
ASCⅡ码转换为未组合BCD码只需减30H。
2.程序框图如下图所示。
3.程序执行前,需要在CS:150H内存缓冲区写入待转换的ASCⅡ码。
方法如下:方法一:用A命令-ACS:150 DB 35,39,30,41,32CS:155 ∧C方法二:用E 命令-ECS:150,35,39,30,41,32方法三:用F命令-FCS:150 L5 35,39,30,41,321.执行程序后检查执行结果可用D命令:-DCS:15ACS:15A 05,09,00,FF,02ASCⅡ码转换为未组合BCD码的程序流程图参考程序如下:ORG 100HMOV CX,0005HMOV DI,150HBB:MOV BL,0FFHMOV A,[DI]CMP AL,3AHJNB DONESUB AL,30HJB DONEMOV BL,ALDONE:MOV AL,BLMOV [DI+0AH],ALINC DILOOP BBINT 20HORG 150HDB 35H,39H,30H,41H,32H实验二顺序结构的程序设计一.实验目的1.掌握计算机常用代码的软件转换技术。
十六进制7段数码显示译码器设计实验报告
十六进制7段数码显示译码器设计实验报告实验报告:十六进制7段数码显示译码器设计一、实验目的本实验的主要目的是设计一种用于将十六进制数码转化为七段显示的译码器电路。
通过这个实验,我们可以学习和了解数字电路的工作原理、数码管的控制方式以及七段数码的译码方法。
二、实验原理本实验所用到的数码管为共阳数码管,它由7个发光二极管组成,其中的每一个发光二极管称为一个段。
这七个段依次为a、b、c、d、e、f和g,它们分别对应数码管上的abcdefg七个引脚。
当一些引脚输出高电平时,相应的段就会被点亮,从而显示出特定的字符。
为了实现将十六进制数码转化为七段显示的功能,我们需要设计一个译码器电路。
译码器电路的输入为十六进制数码,输出为七段信号,用于控制数码管的每个段的亮灭情况。
为了简化设计,我们可以采用CMOS数字集成电路74LS47来实现译码器电路。
该集成电路内部集成了BCD转七段译码器,可以将二进制代码转化为七段数码显示所需要的信号。
它的输入为四个二进制输入端口A、B、C和D,输出为七个段芯片(a、b、c、d、e、f和g)的控制信号。
三、实验步骤1.首先,根据74LS47的真值表,确定译码器的输入和输出。
2.根据真值表,画出逻辑图,确定硬件电路的连接方式。
3.按照逻辑图和电路连接方式,进行硬件电路的布线。
4.按照实验仪器的操作说明,对电路进行调试和测试。
5.将输入端口连接至外部的十六进制信号源,观察输出端口的数据是否正确。
6.验证电路的正确性和稳定性,如果出现问题,进行排除和修复。
四、实验结果经过实验,我们成功地设计并实现了一个十六进制7段数码显示译码器电路。
当输入端口接收到一个十六进制信号时,通过电路的处理和转换,将其转化为了相应的七段信号,用于控制数码管的每个段的亮灭情况。
通过实验观察,我们发现电路的输出结果与预期一致,且工作稳定。
五、实验总结通过这个实验,我们对于数字电路的工作原理和数码管的控制方式有了更深的了解。
十六进制加减计数器实验报告
本科生实验报告十六进制加减计数器电路实验专业名称:课程名称:数据逻辑与EDA指导教师:学生学号:学生姓名:二○一九年十一月1、实验目的1.1了解时序逻辑电路的基本功能1.2掌握时序逻辑电路的设计方法1.3熟悉时序逻辑电路的工作过程2、实验原理2.1定义:在逻辑电路中,任何时刻的稳定输出不仅取决于该时刻的输入,且与过去的输入相关。
2.2类型:边沿触发器、电平触发器、加法计数器、减法计数器、可逆计数器、序列检测器、采样控制器等。
3、实验设备:3.1 PC机3.2 教学实验箱3.3 通信线4、实验内容:4.1任务:设计一个两位16进制加减可逆的计数器,具有内部脉冲和手动计数功能,结果在7段数码管上显示。
4.2接线:(1)用插线连接插孔24,32,25到实验台的1HZ,10HZ和100HZ上,作为CLK1HZ,CLK10HZ,CLK100kHZ,三个频率的输入端;(2)用插线将实验台上的6个数码管的七段码a-h连接到插孔40,41,42,43,44,45,47,48上,作为七段码输出端;(3)七段数码管的位码S(0)-S(5)接到实验台的插孔51,52,53,55,57,58上,作为七段码位码输出端;(4)实验台上的开关K0接到插孔26上,作为en的输入开关。
en=1时手动,en=0时为自动;(5)手动脉冲按键din接单脉冲,然后接在28脚上输入;(6)复位键rst接K1开关连接在27脚输入;(7)加减控制键rev接K2开关连接在31脚输入4.3硬件描述语言源代码:module cnt(clk100khz,clk1hz,clk10hz,rst,en,din,scan,dout,rev);input rst;//复位键input rev;//加减控制器input en;//手动或自动计数开关input din;//手动计数脉冲input clk100khz,clk1hz,clk10hz;//分别为数码管刷新,自动计数,手动计数频率output[7:0]dout;//数码管七段码output[5:0]scan;//数码管位码reg[7:0]dout;reg[5:0]scan;reg f1,f2,c;//数码管个位和十位敏感信号;c为手动计数参数reg[2:0]cnt;//数码管扫描参数reg[3:0]dat;//数码管位码扫描参数reg[3:0]data1,data2;//个位和十位计数参数always@(posedge clk10hz) //设置自动计数和手动计数begin if(!din) c<=1; //din为1时,c=0;din为0时,c=1。
计算机网络原理与技术实验教程-参考答案-实验报告
声明:每个实验都有与之对应的数据包,表格的数据都是分析数据包填上的,由于姜腊林老师只是批阅没有给我们批改,所以会有很多错的地方没改和不懂的地方没有写。
这真的仅仅是参考而已。
实验1.1 Wireshart的使用实验一、实验目的:掌握协议分析软件Wireshark的使用。
二、实验设备:电脑、Wireshart抓包工具三、实验内容:运行Wireshark程序,启动界面点击start按钮,进入Wireshark主窗口。
主窗口包含了捕获和分析包相关的操作。
四、实验步骤:(1)启动Wireshark。
(2)开始分组捕获。
(3)保存抓包文件。
(4)分析抓包文件。
五、实验结果分析(1)、Wireshark主窗口包含那几个窗口?说明这些窗口的作用。
菜单栏:菜单栏通常用来启动Wireshark有关操作,例如File.工具栏:工具栏提供菜单中常用项目的快速访问。
过滤器栏:过滤器栏提供一个路径,来直接控制当前所用的显示过滤器。
包列表窗口:包列表窗口显示当前捕获的全部包的摘要。
包列表的每一行对应一个包,不同包有不同的颜色。
如果选择了某行,则更详细的信息显示在保协议窗口和包字节数据窗口中,在包列表窗口中的每一行代表捕获的一个包,每个包的摘要信息包括:a、No:包文件中包的编号。
b、T ime:包的时间擢,即捕获该包的时间,该时间戳的实际格式可以改变。
c、Source:包的源地址。
d、D estination:包的目标地址。
e、Length:该数据包的长度。
f、Info:包内容的附加信息。
包协议窗口:包协议窗口以更详细的格式显示从包列表窗口选中的协议和协议字段。
包的协议和字段用树型格式显示,可以扩展和收缩。
这是一种可用的上下文菜单,单机每行前的“+”就可以展开为以“—”开头的若干行,单击“—”又可以收缩。
包字节(十六进制数据窗口):包字节窗口以十六进制形式显示出从包列表窗格中选定的当前包的数据,并以高亮度显示在包协议窗口中选择字段。
二进制到十六进制循环计数器实验
二进制到十六进制循环计数器实验实验名称:二进制到十六进制循环计数器实验实验目的:通过搭建一个二进制到十六进制循环计数器电路,掌握二进制和十六进制之间的转换原理及应用,并了解计数器的工作原理。
实验材料:1. 74LS193或74HC193计数器芯片2. 脉冲发生器3. LED灯4. 逻辑分析仪(可选)实验步骤:1. 接线:- 将74LS193或74HC193计数器芯片的引脚1(CLR)接地,引脚16(VCC)连接到电源正极,引脚8(GND)连接到电源负极。
- 将脉冲发生器的信号线连接到计数器芯片的引脚14(CLK)。
- 连接LED灯到计数器芯片的引脚2(A)、引脚4(B)、引脚5(C)、引脚6(D),分别对应十六进制的个位、十位、百位、千位。
2. 设置计数器的工作模式:- 将计数器芯片的引脚9(PARALLEL ENABLE)与引脚15(MR)连接起来,使其处于并行载入数据的模式。
- 将计数器芯片的引脚13(UP/DOWN)连接到高电平(VCC)或低电平(GND)以确定计数器的计数方向。
3. 调整脉冲发生器的频率:- 调整脉冲发生器的频率,使计数器的计数速度适中。
可以使用逻辑分析仪验证脉冲信号的频率是否正确。
4. 实验观察:- 通过观察LED灯的亮灭情况,可以得知当前计数器的二进制值以及对应的十六进制值。
- 当计数器达到最大值(二进制1111)时,下一个脉冲将导致计数器重新计数。
5. 实验记录:- 记录每个计数器值对应的二进制和十六进制数值,分析二进制和十六进制之间的转换规律。
实验注意事项:1. 电源电压应与芯片规格书上规定的电源电压匹配。
2. 在接线过程中,注意引脚的对应关系,确保正确连接。
3. 脉冲发生器的频率不宜过高,以免导致计数器无法正常工作。
实验扩展:1. 更换不同型号的计数器芯片,观察其计数方式和转换规律的差异。
2. 添加七段数码管显示模块,将十六进制数值显示在数码管上。
3. 尝试根据计数器的数值控制其他外围设备的工作,如LED 灯的亮灭、电机的转动等。
七段数码管显示16进制计数器
七段数码管显示16进制计数器的VHDL设计一、实验目的:1、熟悉QuartusII的使用2、掌握七段数码管的VHDL设计3、掌握16进制计数器的VHDL设计4、元件例化语句的使用二、实验内容:用VHDL语句进行七段数码管、16进制计数器和七段数码管显示16进制计数器的设计,用VHDL写成的七段数码管及16进制计数器进行打包并在七段数码管显示16进制计数器进行调用。
用元件调用语句、例化语句来描述七段数码管显示16进制计数器的顶层文件。
也要用VHDL进行波形的仿真,然后把仿真得到的波形与理论上的真值表进行比较看是否一致。
三、实验条件:(1)、开发软件:QuartusII(2)、实验设备:计算机(3)、所用芯片:Altera公司Cyclone系列的EP1C3T144C8芯片四、实验设计:程序框图七段数码管VHDL源程序:library ieee;use ieee.std_logic_1164.all;entity decl7s isport(d:in std_logic_vector(3 downto 0);led:out std_logic_vector(6 downto 0)); end;architecture a of decl7s isbeginprocess(d)begincase d iswhen"0000"=>led<="0111111"; when"0001"=>led<="0000110"; when"0010"=>led<="1011011"; when"0011"=>led<="1001111"; when"0100"=>led<="1100110"; when"0101"=>led<="1101101"; when"0110"=>led<="1111101"; when"0111"=>led<="0000111"; when"1000"=>led<="1111111"; when"1001"=>led<="1101111"; when"1010"=>led<="1110111"; when"1011"=>led<="1111100"; when"1100"=>led<="0111001"; when"1101"=>led<="1011110"; when"1110"=>led<="1111001"; when"1111"=>led<="1110001";when others=>null;end case;end process;end a;16进制计数器VHDL源程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity CNT16 isport( CLK,RST,EN: in std_logic;CQ: OUT std_logic_vector(3 downto 0); COUT:OUT std_logic);end CNT16;architecture behav of CNT16 isbeginprocess(CLK,RST,EN)VARIABLE CQI:std_logic_vector(3 downto 0); beginif RST='1' then CQI:=(others=>'0');elsif CLK'event and CLK='1' thenif EN='1'thenif CQI<15 THEN CQI:=CQI+1;end if;else CQI:=(others=>'0');end if;end if;end if;if CQI=15 THEN COUT<='1';else COUT<='0';CQ<=CQI;end process;end architecture behav;七段数码管显示16进制计数器VHDL源程序library ieee;use ieee.std_logic_1164.all;entity qdshmg isPORT (clk1,rst1,en1 : IN STD_LOGIC;cout1: OUT STD_LOGIC;led1: OUT STD_LOGIC_VECTOR(6 DOWNTO 0));end;architecture one of qdshmg iscomponent CNT16port( CLK,RST,EN: in std_logic;CQ: OUT std_logic_vector(3 downto 0);COUT:OUT std_logic);end component;component decl7sPORT(d:IN STD_LOGIC_VECTOR(3 DOWNTO 0);led:OUT STD_LOGIC_VECTOR(6 DOWNTO 0));end component;SIGNAL e: STD_LOGIC_vector(3 downto 0);BEGINu1 : CNT16 PORT MAP(CLK=>clk1,RST=>rst1,EN=>en1,CQ=>e,COUT=>cout1); u2 : decl7s PORT MAP(d=>e,led=>led1);END ARCHITECTURE one;七段数码管仿真波形:七段数码管显示16进制计数器仿真波形:16进制计数器仿真波形:五、实验结果及总结:16进制计数器的程序并生成仿真波形;1:完成七段数码管的程序并生成仿真波形;2:在同一个文件夹下根据七段数码管的程序和16进制计数器的程序完成七段数码管显示.。
微机实验-(十六进制数生成程序)ppt课件
实验内容及要求
5、编程思绪
【4】程序终了时应运用DOS系统功能调用返
回系统提示符。即程序代码段的最后两条指
令应是:
MOV AH,4CH
INT 21H
7
实验报告要求 1、实验目的 2、实验内容及心得领会。 3、程序框图。 4、程序清单〔应有程序注释〕。
8
结束
9
3
实验内容及要求 3、学习DEBUG工具常用调试命令的运用,详细包括: D命令、E命令、F命令、R命令、G命令、U命令、单T命令、N命令、L命令、Q命
令等。 4、完成实验报告。
4
实验内数00H~FFH的程
序设计。为了实现程序功能,应从以下几个方面思索:
【1】16进制数组Hex可定义在内存数据段:
DATA SEGMENT
Hex DB 256 DUP(?)
DATA ENDS
5
实验内容及要求 5、编程思绪 【2】 Hex数组中元素产生〔 00H~FFH 〕可 以采用循环程序构造。 Hex数组中共有256 个元素,可采用从0开场顺序加1实现。 【3】内存Hex缓冲区的寻址方式,有存放器 间接寻址、存放器相对寻址等多种方式,参 考课堂寻址方式程序举例。
实验二
生成十六进制 数组程序设计
;.
1
实验目的 1、学习数据传送和加法运算指令的运用。 2、学习循环程序编程方法。 3、学会运用DEBUG调试工具。
2
实验内容及要求 1、编写程序产生十六进制数00H~FFH,并存放在内存Hex数组中。实现程序功能,
并在DEBUG环境察看Hex数组元素。 2、完成程序的设计、调试程序、运转程序并记录结果。
利用拨码开关控制数码管进行十六进制数字显示
中北大学课程设计说明书学生姓名:王白军学号:0706024150学院: 电子与计算机科学技术学院专业: 微电子学题目: 利用拨码开关控制数码管进行十六进制数字显示指导教师:王红亮职称: 讲师2010 年 6 月 25 日目录1. 课程设计目的 (2)2. 课程设计内容和要求 (2)2.1 设计内容 (2)2.2 设计要求 (2)3. 设计方案及实现情况 (2)3.1 设计思路求 (2)3.2 工作原理及框图 (2)3.3 模块功能描述 (4)3.4 仿真结果 (5)3.5 实验箱验证情况 (5)4. 课程设计总结 (8)5. 参考文献 (8)1.课程设计目的(1)学习操作数字电路设计实验开发系统,掌握液晶显示器的工作原理及应用。
(2)掌握组合逻辑电路、时序逻辑电路的设计方法。
(3)学习掌握可编程器件设计的全过程。
2.课程设计内容和要求2.1设计内容利用拨码开关控制数码管进行十六进制数字显示。
2.2设计要求(1)学习掌握拨码开关控制模块、数码管的工作原理及应用;(2)熟练掌握VHDL编程语言,编写拨码开关控制模块的控制逻辑;(3)仿真所编写的程序,模拟验证所编写的模块功能;(4)下载程序到芯片中,硬件验证所设置的功能,能够实现十六进制数字的显示;(5)整理设计内容,编写设计说明书。
3.设计方案及其实现3.1 设计思路由于七段数码管是共阴极的,顾可以用七个电平驱动数码管的显示。
通过对不同管脚的点亮,使数码管显示16进制的每个数字。
可以用四个拨码开关组合成4位BCD以表示16进制。
当四位BCD变化时,在输出端输出相应的高低电平以驱动七段数码管的显示。
3.2 工作原理及框图七段共阴极数码管由数码管由abcdefg七段组成,分别对不同的引脚写高电平可以点亮。
在输入端输入相应的bcd码,在输出端就会产生相应的电平以驱动数码管的显示。
由于只需要16个数的显示。
那么拨码开关只需用四个就可以实现16个数字的表示。
利用按键开关控制液晶显示器进行十六进制数字显示说明书-15页文档资料
中北大学课程设计说明书学生姓名:张又木学号: 0906044112学院: 电子与计算机科学技术学院专业: 电子科学与技术题目: 利用按键开关控制液晶显示器进行十六进制数字显示指导教师:焦新泉职称: 讲师2019 年 6 月 20 日目录1、课程设计目的 (2)2、课程设计内容和要求 (2)2.1、设计内容 (2)2.2、设计要求 (2)3、设计方案及实现情况 (2)3.1、设计思路 (2)3.2、工作原理及框图 (2)3.3、各模块功能描述 (4)3.4、仿真结果 (15)3.5、实验箱验证情况 (17)4、课程设计总结 (18)5、参考文献 (18)1、课程设计目的1.学习操作数字电路设计实验开发系统,掌握液晶显示模块的工作原理及应用。
2.掌握组合逻辑电路、时序逻辑电路的设计方法。
3.学习掌握可编程器件设计的全过程2、课程设计内容和要求2.1、设计内容1.学习掌握按键开关控制模块、液晶显示模块的工作原理及应用;2. 熟练掌握VHDL编程语言,编写按键开关控制模块的控制逻辑;3. 仿真所编写的程序,模拟验证所编写的模块功能;4. 下载程序到芯片中,硬件验证所设置的功能,能够实现十六进制数字的显示;5. 整理设计内容,编写设计说明书。
2.2、设计要求1.本课程设计说明书。
2.VHDL源程序及内部原理图。
3.该设计可以在实验箱上正常工作并演示。
3、设计方案和实现情况3.1、设计思路对于这个题目,首先对试验箱上的时钟频率进行降频,因为试验箱上的时钟频率很高,而液晶系统并不需要很高的时钟频率,并且低频时钟更加有利于消抖功能的实现,其次对所使用的按键进行消抖处理,然后将消抖处理后的按键信号直接送入按键计数模块进行计数,再将按键计数模块的计数结果送往译码显示模块,严格按照液晶OCMJ的显示时序将要显示的数据的行坐标,列坐标和数据对应的在BUSY信号不为高的情况下,通过数据线送出,然后在REQ信号线上送出一个高脉冲,将数据显示在液晶OCMJ上。
四字节十六进制数转十进制数
实验四四字节十六进制数转十进制数一、实验目的进一步熟悉8086汇编指令,了解十六进制数转十进制数的方法。
二、实验内容从键盘上输入8位十六进制数,实现四字节十六进制数转8位十进制数,并在数码管上显示。
注意输入数据必须在00000000H ~05F00000H 范围,否则,结果超出8位十进制数,无法正确显示。
三、程序框图主程序四字节十六转十进制子程序四、实验步骤1、连线说明: 3、结果显示在F4区的数码管上五、程序清单.MODEL TINYEXTRN Display8:NEAR, GetKey:NEAR EXTRN F1:BYTE .STACK 100.DATABUFFER DB 8 DUP(?).CODESTART: MOV AX,@DATAMOV DS,AXMOV ES,AXNOPMOV F1,0 ;先清除显示,再接收键输入START1: LEA DI,BUFFERMOV CX,8 ;按键次数CALL GetKey ;得到4字节十六进制数MOV F1,1 ;接收到第一个键,才清除显示MOV SI,WORD PTR BUFFERMOV DI,WORD PTR BUFFER + 2CALL B4toD4 ;转换成十进制数LEA DI,BUFFER ;存放显示结果CALL B1toB2 ;低位MOV AL,AHCALL B1toB2MOV AL,BLCALL B1toB2MOV AL,BHCALL B1toB2LEA SI,BUFFER+7MOV CX,7CALL BlackDisplay ;将高位0消隐LEA SI,BUFFERCALL Display8JMP START1;将一个字节压缩BCD码转换成二个字节非压缩BCD码B1toB2 PROC NEARPUSH AXAND AL,0FHSTOSBPOP AXAND AL,0F0HROR AL,4STOSBRETB1toB2 ENDPBlackDisplay PROC NEARSTDMOV DI,SIBlackDisplay1: LODSB ;将高位0消隐CMP AL,0JNZ ExitMOV AL,10HSTOSBLOOP BlackDisplay1Exit: CLDRETBlackDisplay ENDP;四字节十六进制数转十进制数:DISI为十六进制,BXAX为压缩BCD码B4toD4 PROC NEARXOR AX,AXXOR BX,BXMOV CX,32B4toD4_1: RCL SI,1RCL DI,1ADC AL,ALDAAXCHG AL,AHADC AL,ALDAAXCHG AL,BLADC AL,ALDAAXCHG AL,BHADC AL,ALDAAXCHG AL,BHXCHG AL,BLXCHG AL,AHLOOP B4toD4_1RETB4toD4 ENDPEND START六、思考题如果不考虑在数码管上显示,不限制数据范围,程序应如何编写。
实验4-用十六进制数形式显示
实验4 用十六进制数形式显示实验目的:通过实验掌握下列知识:1、8086指令: JG,、SHR、MOV、OR、CMP、INC、LEA、INT、JNZ等。
2、利用DOS功能调用INT 21H的9号功能进行屏幕显示的方法。
实验要求:1、编一个程序,要求把NUM单元存放的一个8位二进制数,在屏幕上显示成16进制数。
2、修改前述1的程序,使能从键盘输入8位(或任意位<=16,以回车为输入结束)二进制数,在屏幕上显示对应的16进制数。
实验结果:如NUM单元的内容为’01001011’,要求能显示“RESULT=4BH”。
对第2部分,如键盘输入10110101110101回车输出结果:RESULT=2D75H实验报告要求:1、分析要点及调试后的正确程序。
2、实验体会。
进一步要求(对有余力的同学):编一个程序,要求把键盘输入的一个至多4位十六进制数,在屏幕上显示成对应的二进制数。
知识点:1、字符串显示DOS 09H功能说明功能:在屏幕上显示在存储器内以“$”为结束符的字符串(“$” 不显示)。
入口参数:DS:DX = 串首址。
例:一个以“$”结束的字符串已存放在mess为首地址的内存缓冲区中,利用09号功能调用如下:MOV AH, 9MOV DX, SEG mess ; DS:DX=串地址MOV DS, DXMOV DX, OFFSET messINT 21H2、数据区DA TA SEGMENTNUM DB 01001011Bmess DB 'RESULT=$'str DB 4 DUP(?)DA TA ENDS。
计算机网络原理与技术实验教程-参考答案-实验报告
声明:每个实验都有与之对应的数据包,表格的数据都是分析数据包填上的,由于姜腊林老师只是批阅没有给我们批改,所以会有很多错的地方没改和不懂的地方没有写。
这真的仅仅是参考而已。
实验1.1 Wireshart的使用实验一、实验目的:掌握协议分析软件Wireshark的使用。
二、实验设备:电脑、Wireshart抓包工具三、实验内容:运行Wireshark程序,启动界面点击start按钮,进入Wireshark主窗口。
主窗口包含了捕获和分析包相关的操作。
四、实验步骤:(1)启动Wireshark。
(2)开始分组捕获。
(3)保存抓包文件。
(4)分析抓包文件。
五、实验结果分析(1)、Wireshark主窗口包含那几个窗口?说明这些窗口的作用。
菜单栏:菜单栏通常用来启动Wireshark有关操作,例如File.工具栏:工具栏提供菜单中常用项目的快速访问。
过滤器栏:过滤器栏提供一个路径,来直接控制当前所用的显示过滤器。
包列表窗口:包列表窗口显示当前捕获的全部包的摘要。
包列表的每一行对应一个包,不同包有不同的颜色。
如果选择了某行,则更详细的信息显示在保协议窗口和包字节数据窗口中,在包列表窗口中的每一行代表捕获的一个包,每个包的摘要信息包括:a、No:包文件中包的编号。
b、T ime:包的时间擢,即捕获该包的时间,该时间戳的实际格式可以改变。
c、Source:包的源地址。
d、D estination:包的目标地址。
e、Length:该数据包的长度。
f、Info:包内容的附加信息。
包协议窗口:包协议窗口以更详细的格式显示从包列表窗口选中的协议和协议字段。
包的协议和字段用树型格式显示,可以扩展和收缩。
这是一种可用的上下文菜单,单机每行前的“+”就可以展开为以“—”开头的若干行,单击“—”又可以收缩。
包字节(十六进制数据窗口):包字节窗口以十六进制形式显示出从包列表窗格中选定的当前包的数据,并以高亮度显示在包协议窗口中选择字段。
0~916进制共阴极编码
0~916进制共阴极编码共阴数码管是一种常见的显示器件,可以显示数字0到9。
它由七段LED组成,每段LED可以分别点亮或熄灭,通过控制不同的LED的状态来显示不同的数字。
共阴数码管的特点是在显示数字时,需要将对应的LED段接地,即低电平,此时LED段亮起。
因此,共阴数码管的亮度控制是通过控制高电平的方式来实现的。
接下来,我们将介绍共阴数码管0到9的16进制表示。
在16进制系统中,共阴数码管的每个数字都有一个对应的16进制表示,下面是每个数字和对应的16进制表示:0 - 0x3F: 01111111 - 0x06: 00001102 - 0x5B: 10110113 - 0x4F: 10011114 - 0x66: 11001105 - 0x6D: 11011016 - 0x7D: 11111017 - 0x07: 00001118 - 0x7F: 11111119 - 0x6F: 1101111以上是共阴数码管0到9的16进制表示。
每个数字的16进制表示都是一个7位二进制数,分别对应共阴数码管的七段LED的状态。
其中,1表示对应的LED段亮起,0表示对应的LED段熄灭。
共阴数码管的16进制表示在数字显示中有着重要的作用。
通过将16进制数与共阴数码管的控制电路相连接,可以实现数字的显示。
例如,要显示数字"5",只需将0x6D输出到共阴数码管的控制端口即可。
控制电路会根据0x6D的二进制表示,控制对应的LED段亮起,从而显示数字"5"。
在实际应用中,共阴数码管被广泛应用于计数器、时钟、温度计等各种数字显示设备中。
通过对共阴数码管的控制,可以实现各种数字的显示和切换。
因此,了解共阴数码管的16进制表示对于数字显示的控制和应用具有重要意义。
16进制数据表示法
16进制数据表示法
在计算机科学和数学领域,16进制数据表示法是一种十六进制
数字系统,它使用0-9和A-F(或a-f)表示数字0到15。
这种表
示法被广泛用于计算机编程和数字传输领域,因为它可以更紧凑地
表示大量数据,同时也更容易与二进制数据进行转换和比较。
16进制数据表示法的美妙之处在于它能够将大量的数据压缩成
更短的字符串,同时又能够保持数据的完整性和准确性。
这种表示
法也具有很高的可读性,因为它能够直观地表示数字的大小和关系,同时也更容易与人类语言进行对应和理解。
在计算机编程领域,16进制数据表示法经常用于表示颜色值、
内存地址、网络数据等,它不仅可以节省存储空间,还能够简化数
据处理和传输的复杂性。
同时,它也为程序员提供了更直观和便捷
的方式来处理数字数据,使得编程工作更高效和可靠。
除此之外,16进制数据表示法还具有一种神秘之美,它让数字
不再仅仅是冰冷的符号,而是充满了艺术和魅力。
通过16进制数据
表示法,我们可以看到数字之间的奇妙关系和变化,感受到数字世
界的无限可能性和魔力。
总的来说,16进制数据表示法不仅是一种数字系统,更是一种数字之美的体现。
它让我们重新审视数字的魅力和神秘,同时也为我们带来了更多数字世界的乐趣和挑战。
让我们一起来探索16进制数据表示法的奥秘,感受数字的神奇之美吧!。
实验三 双字节16进制数转换为BCD码并显示实验
实验三双字节16进制数转换为BCD码并显示实验一、实验目的:1.编程熟悉16进制数转换为BCD方法;2.了解和熟悉子程序调用中参数的传递。
二、电路原理图(显示部分)要求:试验的时候只保留条线帽j1,液晶显示去掉;板子上的拨码开关向下,否则影响试验。
动态LED驱动显示电路原理图三、实验内容1编写出2个字节的16进制数转换BCD码后并显示的程序。
2要求转换程序和显示程序都编写为子程序,方便后续调用四、实验报告内容1参考书籍分别规范编写实现2个子程序功能的流程图2写出实现要求的源程序;写出每个子程序的入口参数和出口参数。
3查看例程中RAM20~24单元存放的数代表?五、参考例程show1 equ 20h ;个位寄存器show2 equ 21h ;十位寄存器show3 equ 22h ;百位寄存器show4 equ 23h ;千位寄存器show5 equ 24h ;万位寄存器org 0000hajmp starorg 0050hstar: MOV R1,#0AFHMOV R0,#0CBHLCALL HEX2BCDMOV show5,R4MOV SHOW4,R3MOV SHOW3,R2MOV SHOW2,R1MOV SHOW1,R0LCALL displayLCALL D1MSAJMP star ;....................................................................... ;入口条件:待转换的双字节十六进制整数在R0、R1高位r0中。
;出口信息:转换后的单个BCD码数在R4、R3、R2,r1,r0中。
;.................................................................... HEX2BCD:CLR AMOV R2, A ;先清零MOV R3, AMOV R4, AMOV R5, #16 ;共转换十六位数H2B:CLR CMOV A, R1 ;从待转换数的低端移出一位到CyRLC AMOV R1, AMOV A, R0RLC AMOV R0, AMOV A, R4 ;送到BCD码的低端ADDC A, R4 ;带进位加。
将数据以十六进制数形式显示在屏幕上
实验一显示程序实验一、实验目的1. 掌握在PC机上以十六进制形式显示数据的方法;2. 掌握部分DOS功能调用使用方法;3. 熟悉Tddebug调试环境和Turbo Debugger的使用。
二、实验所用设备1. PC微机一台。
三、实验内容一般来说,程序需要显示输出提示运行的状况和结果,有的还需要将数据区中的内容显示在屏幕上。
本实验要求将指定数据区的数据以十六进制数形式显示在屏幕上,并通过DOS功能调用完成一些提示信息的显示。
实验中可使用DOS功能调用(INT 21H)。
(1) 显示单个字符输出入口:AH=02H调用参数:DL=输出字符(2) 显示字符串入口:AH=09H调用参数:DS:DX=串地址,’$’为结束字符(3) 键盘输入并回显入口:AH=01H返回参数:AL=输出字符(4) 返回DOS系统入口:AH=4CH调用参数:AL=返回码四:实验步骤1. 运行Tddebug软件,选择Edit菜单,根据实验内容的描述编写实验程序,本实验显示部分参考实验流程如图1-1示;2. 使用Compile菜单中的Compile和Link对实验程序进行汇编、连接;3. 使用Rmrun菜单中的Run,运行程序,观察运行结果;4. 使用Rmrun菜单中的Debug,调试程序,观察调试过程中,数据传输指令执行后,各寄存器及数据区的内容;5. 更改数据区中的数据,考察程序的正确性。
流程图:程序清单:DATA SEGMENTMYSTR DB 'show a as hex:',0AH,0DH,'$' SD DB 'a'DATA ENDSCODE SEGMENTASSUME CS:CODE,DS:DA TASTART: MOV AX ,DATAMOV DS ,AXLEA DX,MYSTRMOV AH,09H ;显示提示符INT 21HLEA SI, SDMOV AL ,[SI]AND AL ,0F0H ;高四位转换成十六进制数的ASC码SHR AL ,4CMP AL,0AHJB C1ADD AL ,07HC1: ADD AL, 30HMOV DL,AL ;显示高位MOV AH,02HINT 21HMOV AL ,[SI]AND AL,0FH ;低四位转换成十六进制数的ASC码CMP AL,0AHJB C2ADD AL ,07HC2: ADD AL,30HMOV DL,AL ;显示低位MOV AH,02HINT 21HMOV AX,4C00HINT 21HCODE ENDSEND START运行结果:。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
实验4 用十六进制数形式显示
实验目的:
通过实验掌握下列知识:
1、8086指令: JG,、SHR、MOV、OR、CMP、INC、LEA、INT、JNZ等。
2、利用DOS功能调用INT 21H的9号功能进行屏幕显示的方法。
实验要求:
1、编一个程序,要求把NUM单元存放的一个8位二进制数,在屏幕上显示成16进制数。
2、修改前述1的程序,使能从键盘输入8位(或任意位<=16,以回车为输入结束)二进制数,在屏幕上显示对应的16进制数。
实验结果:
如NUM单元的内容为’01001011’,要求能显示“RESULT=4BH”。
对第2部分,如键盘输入
10110101110101回车
输出结果:
RESULT=2D75H
实验报告要求:
1、分析要点及调试后的正确程序。
2、实验体会。
进一步要求(对有余力的同学):
编一个程序,要求把键盘输入的一个至多4位十六进制数,在屏幕上显示成对应的二进制数。
知识点:
1、字符串显示DOS 09H功能说明
功能:
在屏幕上显示在存储器内以“$”为结束符的字符串(“$” 不显示)。
入口参数:DS:DX = 串首址。
例:一个以“$”结束的字符串已存放在mess为首地址的内存缓冲区中,利用09号功能调用如下:
MOV AH, 9
MOV DX, SEG mess ; DS:DX=串地址
MOV DS, DX
MOV DX, OFFSET mess
INT 21H
2、数据区
DA TA SEGMENT
NUM DB 01001011B
mess DB 'RESULT=$'
str DB 4 DUP(?)
DA TA ENDS。