武汉大学 EDA实验指导书-dc
电子设计自动化eda实验指导书样本
电子设计自动化(EDA)实验指引书前言近些年来,电子设计自动化(EDA)技术发展迅速。
一方面,各种大容量、高性能、低功耗可编程逻辑器件不断推出,使得专用集成电路(ASIC)生产商感受到空前竞争压力。
另一方面,浮现了许多EDA设计辅助工具,这些工具大大提高了新型集成电路设计效率,使更低成本、更短周期复杂数字系统开发成为也许。
于是一场ASIC 与FPGA/CPLD之争在所难免。
然而PLD器件具备先天竞争优势,那就是可以重复编程,在线调试。
EDA技术正是这场较劲推动引擎之一。
普通来说,EDA技术就是以计算机为平台,以EDA软件工具为开发环境,以HDL为设计语言,以可编程器件为载体,以ASIC、SOC芯片为目的器件,以电子系统设计为应用方向电子产品自动化设计过程。
设计者只需编写硬件描述语言代码,然后选取目的器件,在集成开发环境里进行编译,仿真,综合,最后在线下载调试。
整个过程,大某些工作由EDA软件完毕。
全球许多知名可编程器件提供商都推出了自己集成开发工具软件,如Altera公司MAX+PLUSⅡ、Quartus Ⅱ软件;Xilinx公司Foundation 、ISE软件,Lattice公司ispExpert 软件,Actel公司Libero软件等。
这些软件推出,极大地增进了集算法设计、芯片编程、电路板设计于一体EDA技术发展。
此外,在以SOC芯片为目的器件电子系统设计规定下,可编程器件内部开始集成高速解决器硬核、解决器软核、DSP模块、大量存储资源、高速串行收发模块、系统时钟管理器、多原则I/O接口模块,亦使得设计者更加得心应手,新一轮数字革命由此引起。
EDA技术是一门实践性很强学科,要培养出具备竞争力一流IC 设计人才,动手能力是核心。
只有通过理论学习,加上现场实验,在使用软件编程加硬件调试过程中真正获得锻炼,增长技能。
ZY11EDA13BE型实验系统采用主板加适配板加扩展板灵活构造,可以便进行基于不同PLD芯片实验开发,并易于升级,符合当前高校在此方面对人才培养规定。
EDA电子实训实验指导书
石河子大学本科毕业设计实验指导书基于EDA平台的电子实训实验设计与开发学生姓名贺权指导教师任玲所在学院机械电气工程学院专业电气工程及其自动化年级11级(1)班中国·新疆·石河子2015年6月目录一、软件工具的安装 (2)二、实验部分 (3)实验一组合逻辑3-8译码器 (3)实验二汽车尾灯控制电路 (11)实验三、基于VHDL语言的数字秒表电路 (15)一、软件工具的安装本实验使用的是Quartus II 9.0,该软件可运行在winxp/win7/win8(包括兼容模式)等系统下,下载安装破解方法如下:1.在Altera公司官网上下载Quartus II 9.0的安装文件。
其中包括Quartus II 9.0的安装文件和ip库。
2.开始安装,win8以下的可以通过解压后得到安装文件,win8以上的直接双击打开就行,先安装90_quartus_windows,然后是90_ip_windows。
默认装在C盘,确保空间足够。
3.软件可免费试用30天。
鼓励购买正版,破解方法可百度。
4.用Quartus_II_9.0_b151破解器.exe破解C:\altera\90\quartus\bin下的sys_cpt.dll文件(运行Quartus_II_90_b151破解器.exe后,首先要点击“浏览”选中sys_cpt.dll,安装默认的sys_cpt.dll路径是在C:\altera\90\quartus\bin下,选中sys_cpt.dll后再点击“应用”。
很多用户上来就点击“应用”,实际上并没有破解这个软件)。
5.把license.dat里的XXXXXXXXXXXX 用您老的网卡号替换(在Quartus II7.2的Tools菜单下选择License Setup,下面就有NIC ID)。
6.在Quartus II 9.0的Tools菜单下选择License Setup,然后选择Licensefile,最后点击OK。
EDA 技术:EDA技术及应用实验指导书
EDA技术及应用实验指导书顾庆水序言《EDA技术及应用实验指导书》是与理论课程《EDA技术及应用》配套开出的,是电信专业的一门专业实验课程,对电信专业的学生具有非常重要的作用。
本实验课与理论课同时进行,与理论课有着较密切的联系。
因此同学们在做本实验之前必须具备以下的基础知识:1、数字电路的基础知识;2、电子计算机常用操作系统的使用方法;3、一定的英语基础;4、必须有一定的理论知识做基础,与理论课同时进行。
PLD(可编程逻辑器件)是与ISP(在系统可编程)技术和EDA(电子设计自动化)工具紧密结合、同时进行的。
它代表了数字电信领域的最高水平,给数字电路的设计带来了革命性的变化。
从70 年代第一片可编程逻辑器件PROM的诞生到现在的CPLD/FPGA,数字系统的设计发生了本质的变化。
从传统的对电路板的设计到现在的基于芯片的设计,使得数字系统设计的效率大大提高,产品更新速度大大加快,设计周期大大变短。
所以同学们学习本课程有着非常重要的意义。
本实验不同于其它实验,他的实验手段和实验方法都有了重大的变化,主要体现在以下几个方面:首先:实验方法不同本实验是在PC平台上,用原理图或文本进行输入,然后进行编译,通过之后再进行波形仿真,如有缺陷,再回过头去对源文件进行修改。
其流程图如下:其次:实验手段不同本实验是利用ISP技术、采用EDA工具、应用PLD 器件,在PC平台上进行的。
第三、本实验课的目的学生学习完本实验课后,应达到如下的要求:1、能熟练使用本实验的配套EDA软件Mux+plusⅡ;2、掌握PLD 芯片的基本使用方法,能用现代数字系统的设计方法进行基本的数字系统设计;3、掌握图形编辑和VHDL文本编辑两种设计方法,重点是VHDL文本编辑;4、具备基本的开发能力,为后续学习打下坚实的基础。
实验规则为了维护正常的实验教学次序,提高实验课的教学质量,顺利的完成各项实验任务,确保人身、设备安全,特制定如下实验规则:一、实验前必须充分预习,完成指定的预习内容,并写出预习报告,预习要求如下:1、认真阅读本实验指导书,分析掌握本次实验的基本原理;2、完成各实验预习要求中指定的内容;3、熟悉实验任务。
EDA实验指导书(最新)
EDA技术实验指导书吕常智尹唱唱卫阿盈山东科技大学信息与电气工程学院EDA实验室使用说明本实验指导书适用于EDA技术、VHDL语言及电子设计自动化等相关课程使用。
它们都属于实践性很强的软件应用类课程,主要包含电子线路设计与仿真(EWB,MuiltSim等)、电子线路原理图与印刷电路板的设计与绘制(Protel99SE、PowerPCB等)、可编程逻辑器件CPLD/FPGA、VHDL语言及其应用设计(MAX+PlusII/QuartusII)等相关内容,涉及到电子信息、通信工程、电气技术、自动化、生物医学等所有电气信息类专业。
基于以上原因,在设计本实验指导书时考虑到各专业和课程的需要,安排了有关EWB的实验一个,Protel99SE的实验两个,基于CPLD/FPGA的VHDL语言的实验十七个。
二十个实验中包含验证性实验9个、设计性实验2个、综合性实验9个(具体请查看试验大纲)。
实验的设计强调了提高学生的实践动手能力和创新设计能力,实验时,课程教师可以根据专业和课程的需要进行选择。
由于所有试验都是基于软件开发平台的上机操作完成,实现设计和运行的方法较多,因此本指导书没有固定实验步骤和规定试验结果记录方式,这些在书写报告时由实验者自己拟定。
另外,本实验指导书基于EDA 实验系统GW48-PK3编排,有关试验系统的默认设置及相关资料请参考附件1,并要求学生实验时一定注意预览。
当然,由于涉及的知识面比较广,时间紧迫,水平也比较有限,实验的编排不一定非常合理,书中也难免有误,不当之处敬请课程教师、实验指导教师及实验指导书使用者批评指正。
目录试验一EWB设计应用 (1)试验二Protel99SE 原理图设计 (4)试验三Protel99SE 印刷板设计 (5)试验四Max+plusII/QuartusII软件应用 (7)试验五VHDL软件设计 (8)试验六3-8译码器 (9)试验七组合逻辑电路的VHDL描述 (10)试验八触发器的VHDL描述 (11)试验九扫描显示驱动电路 (13)试验十VHDL硬件设计 (15)试验十一数字钟 (18)试验十二字符发生器 (19)试验十三模拟信号检测 (20)试验十四函数信号发生器 (22)试验十五VHDL硬件设计 (23)试验十六序列检测器设计 (27)试验十七嵌入式锁相环PLL的应用实验 (28)试验十八信号采集与频谱分析 (30)试验十九循环冗余校验CRC模块设计 (32)试验二十USB与FPGA通信实验 (33)附录1 GW48 EDA/SOPC主系统使用说明 (35)实验一EWB设计应用一、实验目的1、熟悉EWB的使用环境和EWB使用一般步骤。
《EDA技术及应用》实验指导书
实验一组合逻辑器件设计一、实验目的1、通过一个简单的3-8译码器的设计,掌握组合逻辑电路的设计方法。
2、掌握组合逻辑电路的静态测试方法。
3、初步了解QUARTUS II原理图输入设计的全过程。
二、实验主要仪器与设备1、输入:DIP拨码开关3位。
2、输出:LED灯。
3、主芯片:EP1K10TC100-3。
三、实验内容及原理三-八译码器即三输入,八输出。
输出与输入之间的对应关系如表1-1-1所示。
表1-1 三-八译码器真值表四、预习要求做实验前必须认真复习数字电路中组合逻辑电路设计的相关内容(编码器、译码器)。
五、实验步骤1、利用原理图设计输入法画图1-1-1。
2、选择芯片ACEX1K EP1K10TC100-3。
3、编译。
4、时序仿真。
5、管脚分配,并再次编译。
6、实验连线。
7、编程下载,观察实验结果。
图1-1 三-八译码器原理图六、实验连线用拨码开关的低三位代表译码器的输入(A,B,C),将之与EP1K10TC100-3的管脚相连;用LED灯来表示译码器的输出(D0~D7),将之与EP1K10TC100-3芯片的管脚相连。
拨动拨档开关,可以观察发光二极管与输入状态的对应关系同真值表中所描述的情况是一致的。
七、实验结果八、思考题在输入端加入使能端后应如何设计?附:用硬件描述语言完成译码器的设计::LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY T2 ISPORT(A: IN STD_LOGIC_VECTOR(2 DOWNTO 0);Y: OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END T2;ARCHITECTURE A OF T2 ISBEGINWITH A SELECTY <= "00000001" WHEN "000","00000010" WHEN "001","00000100" WHEN "010","00001000" WHEN "011","00010000" WHEN "100","00100000" WHEN "101","01000000" WHEN "110","10000000" WHEN OTHERS;END A;实验二组合电路设计一、实验目的1、掌握组合逻辑电路的设计方法。
EDA实训指导书
EDA实训指导书根据课程教学大纲中实训教学大纲的具体要求,为了更好地开展实训教学工作,特制定本实训指导书。
《EDA实训》是一门实践操作性很强的技术基础课,主要培养学生电子线路设计工作以及对Protues设计软件的掌握能力,并可以独立实现电路原理图设计以及仿真。
教学中除了讲授必要的基本理论,基本知识外,主要是上机实践环节,它对学生掌握基本理论,运用基本知识,训练基本技能,增强实验能力、综合应用能力和创新意识都有着至关重要的作用。
本指导书适用于我院汽电、机电等专业。
一:实验目的学习Proteus仿真环境二:实验原理仿真环境三:实验设备Windows XP 软硬件开发平台Proteus四:实验过程1. Proteus 仿真与分析1、Proteus软件的安装与运行先按要求把软件安装到计算机上,安装结束后,在桌面的“开始”程序菜单中,单击运行原理图(ISIS 7 Professional)或PCB (ARE 7 Professional)设计界面。
ISIS 7 Professional在程序中的位置如图1所示。
图1 ISIS 7 Professional 在程序中的位置2、Proteus 的主界面简介3. 元件的拾取在桌面上选择【开始】→【程序】→“Proteus 7 Professional ”,单击蓝色图标“ISIS 7 Professional ”打开应用程序。
ISIS Professional 的编辑界面如图3所示图3用鼠标左键单击界面左侧预览窗口下的“P”按钮,如图4所示,会弹出“Pick Device”(元件拾取)对话框,如图5所示。
图5 元件拾取对话框ISIS 7 Professional的元件拾取就是把元件从元件拾取对话框中拾取到图形编辑界面的对象选择器中4、下面把元件从对象选择器中放置到图形编辑区中。
用鼠标单击对象选择区中的某一元件名,把鼠标指针移动到图形编辑区,双击鼠标左键,元件即被放置到编辑区中。
集成实验DC实验报告
武汉大学电工电子实验教学示范中心集成电路设计实验实验报告电子信息学院电子信息工程专业2014 年 5 月 2 日实验名称逻辑综合(DC工具)实验指导教师姓名江燕婷年级2011级学号2011301200025 成绩一、预习部分1.实验目的(预期成果)2.实验基本原理(概要)3.主要仪器设备(实验条件,含必要的元器件、工具)一、实验目的1.掌握综合的基本流程和Design Compile软件的使用2.对设计进行分块设计以获得更好的综合效果;3. 对设计进行时间约束的综合。
二、实验原理1.综合DC是把RTL级的代码转化为门级网表。
综合包括翻译,优化,映射三个步骤。
优化是基于所施加的一定时序和面积的约束条件,综合器按照一定的算法对翻译结果做逻辑优化和重组。
在映射过程中,根据所施加的一定的时序和面积的约束条件,综合器从目标工艺库总搜索符合条件的单元来构成实际电路。
2.时序与面积约束通过描述其设计环境,目标任务和设计规则来系统的约束设计。
约束主要包含时序和面积信息,它们通常是从规格说明中提取出来的。
DC用这些约束去综合和优化设计以符合其目标任务。
3.环境变量,设计规则和设计优化(1)设计环境条件约束的环境变量set_operating_conditions描述了设计的工艺、电压和温度等条件;set_load定义了输出单元总的驱动能力;set_driving_cell模拟了驱动输入管脚的驱动单元的驱动电阻;set_drive指明了输入管脚的驱动强度,模拟了输入管脚的外部驱动电阻;set_wire_load用来提供估计的统计线载(wire load)信息,反过来也用线载信息模拟net 延时。
(2) 设计规则set_max_transition,set_max_ capacitance,set_max_fanout 设计规则在技术库中设置,为工艺参数所决定。
set_max_transition <value> <object list>set_max_capacitance <value> <object list>set_max_fanout <value> <object list>三. 实验设备与软件平台基于UNIX 系统的服务器、PC 机(windows)、DC 综合软件二、实验操作部分1.实验数据、表格及数据处理(综合结果概要、仿真波形图、时序分析结果、signalTAPII 结果等)2.实验操作过程(可用图表示)3.结论四. 实验内容1. 对设计进行分块设计;2. 会用DC_tcl完成设计命令;3. 时间约束设计;4.环境属性设置和规则设计。
EDA技术实验指导书
《EDA技术》实验指导书面向专业:通信工程信息工程自动化电子信息工程电气工程及其自动化信息与通信工程学院2016年9月前言一、课程性质本课程是电子信息工程、通信工程、信息工程和自动化专业必修的专业实验课程。
通过本课程的教学,使学生掌握EDA技术的开发流程,学会利用以硬件描述语言为描述工具,以可编程逻辑器件为实现载体,在数字系统设计领域熟练应用EDA技术,使其具备研究和开发现代数字系统的能力。
二、专业安排本系统分为多个模块,适合通信工程、信息工程、自动化、电子信息工程、电气工程及其自动化等专业使用。
三、本书特点本实验指导书的特点是引入工程项目机制来管理实验项目,着重培养学生的方案设计、算法分析和现场调试能力,为培养卓越工程师打下坚实的基础。
目录前言 (I)第一章实验系统 (1)1.1 系统整体结构 (1)1.2 核心板 (1)1.3 基础扩展模块 (2)1.4 自动控制模块 (3)1.5 信号处理模块 (3)1.6 通信接口模块 (4)第二章开发平台简介 (5)2.1 Quartus II简介 (5)2.2 Quartus II开发流程 (5)第三章实验项目 (9)实验1 平台应用及全加器设计 (9)实验2 信号发生器设计 (11)实验3 数字电压表设计 (13)实验4 数字频率计设计 (16)实验5 交通灯控制器设计 (19)第一章实验系统1.1 系统整体结构本实验指导书采用的EDA综合实验开发系统是我院电子信息与通信技术实验教学中心自主研制,整体结构如图所示。
1.2 核心板核心板采用Altera公司的EP4CE22E22C8N芯片,具有低内核电压、低功耗的特点。
芯片内部具有22320个逻辑单元,594kbit RAM嵌入式储存器,66个嵌入式18×18乘法器,4组通用PLL。
1、DIP开关主要功能是控制高低电平,通过手动控制为系统提供稳定的逻辑信号。
系统总共提供了3位拨档开关,当开关的档位在上方时则输出高电平“1”,反之则为低电平“0”。
武汉大学EDA实验报告9_电平转换接口电路实验
武汉大学物理科学与技术学院EDA 实验报告9一、实验名称:电平转换接口电路实验二、实验要求:1、TTL 和CMOS 的接口电路:(1)给出电路图中各个元件的参数,使电路达到电平转换的功能;(2)分析电路中各参数(包括器件的特性参数)对电路功能和特性的影响; (3)着重分析电路的响应特性和驱动能力; 2、ECL 到TTL 的接口电路:(4)给出电路图中各个元件的参数,使电路达到电平转换的功能;(5)分析电路中各参数(包括器件的特性参数)对电路功能和特性的影响; (6)着重分析电路的响应特性和驱动能力;三、电路图:TTL到CMOS的电平转换电路Vcc-VEEVBB四、电路网表: work1VDD 4 0 3.3 Vcc 3 0 5 Vi 1 0 0 R1 8 3 1k R2 7 3 1k R3 0 6 1kRL 2 4 {change} D1 0 1 DMOD D2 8 1 DMOD Q1 7 1 6 QMOD Q2 2 6 0 QMOD.MODEL DMOD D BV=20.MODEL QMOD npn IS=1E-15 BF=100 VAF=100 RB=50 RC=100 .PARAM change=100 .DC lin Vi 0 3.5 0.1.STEP PARAM change list 1k 10k 20k 30k .SENS V(2) .OP .PROBE .ENDwork2Vi 1 0 -1.75 Vbb 5 0 -1.29Vcc 3 0 5 Vee 4 0 -5.22 Rc1 7 3 10k Rc2 8 0 2k Rc3 2 3 {change} Re 4 6 2k D1 0 7 DMOD Q1 8 1 6 QMOD Qr 7 5 6 QMOD Q2 2 7 0 QMOD .PARAM change=1k .MODEL DMOD D BV=20 .MODEL QMOD npn IS=1E-15 BF=100 VAF=100 RB=50 RC=100 .STEP PARAM change list 1k 10k 20k 30k .OP .DC lin Vi -3.5 0 0.1 .SENS V(2) .PROBE .END五、仿真结果:1.1、TTL 和CMOS 的接口电路传输特性(对RL 进行参数扫描):1.2、TTL 和CMOS 的接口电路灵敏度分析:2.1、ECL 到TTL 的接口电路传输特性(对Rc3进行参数扫描): //虽然Rc3的相对灵敏度的绝对值最大,但是对Rc3的参数扫描结果不是很明显,所以选取对Rc1进行参数扫描以体现不同参数设定对结果的影响,上述代码中仍未Rc1参数扫描2.2、ECL到TTL的接口电路传输特性(对Rc1进行参数扫描):2.3、ECL到TTL的接口电路灵敏度分析:六、分析与总结:1、灵敏度分析是计算电路的输出变量对电路中元器件参数的灵敏程度的分析,在.out 文件中可以看到灵敏度分析有几列,其中ELEMENT SENSITIVITY (VOLTS/UNIT)应该是在某器件参数变化一个单位时,待分析的量变化大小;NORMALIZED SENSITIVITY (VOLTS/PERCENT)是归一化的灵敏度,指的是某器件参数变化一个百分比时,待分析量变化的大小。
EDA技术实验指导书
电子系统设计与EDA技术实验指导书实验须知:1.实验前认真阅读实验任务书,明确实验目的、内容及要求等;2.实验过程中要注意爱护实验设备,按要求接线、按步骤操作;3.要养成严谨科学的实验态度和勇于探索的实验精神,注重实践创新,对于在实验中遇到的困难,鼓励独立思考,用新思路、新方法解决;4.实验完成后,按学院资料入库标准认真完成实验报告,撰写实验报告要实事求是,严禁抄袭。
目录实验一MAX+plusⅡ的基本应用 (4)实验二简单组合逻辑设计 (6)实验三数码管扫描显示电路 (8)实验四简单时序逻辑电路设计 (11)实验六 4位二进制加法器设计 (13)实验七彩色LED组跑马灯的实现 (14)实验九数字钟的设计 (17)实验一MAX+plusⅡ的基本应用一、实验目的:1.熟悉MAX+plusⅡ的基本操作;2.掌握MAX+plusⅡ环境下的设计输入方法;3.熟悉相关的元件库以及功能模块的应用。
二、实验内容及步骤:1.通过2选1多路选择器的设计,熟悉VHDL文本输入的设计流程。
1)安装MAX+plusⅡ;2)启动MAX+plusⅡ(注意:第一次运行MAX+PLUSII时,需进行License设置。
从Option 菜单中选择License Setup进入License设置窗口,单击Browse按钮,选择License.dat文件即可);3)按照以下流程完成2选1多路选择器的VHDL设计:设计输入→保存→建立设计项目→编译→创建默认符号;2.通过1位二进制全加器的设计,熟悉图形输入设计流程。
1)半加器图形设计文件输入(后缀为.gdf)→保存→建立设计项目→编译→创建默认符号;2)顶层文件设计:全加器图形设计文件输入→保存→建立设计项目→编译→引脚分配及锁定→功能仿真→时序分析;三、实验要求:1.实验前预习2选1多路选择器的VHDL程序以及全加器的原理图;2.按照实验步骤完成本次实验任务,记录主要的设计流程;3.分析全加器功能仿真的波形;4.认真完成实验报告。
《EDA》实验指导书
EDA技术与应用实验指导书实验一MAX+PLUSII 软件使用实验一 实验目的1 熟悉MAX+PLUSII 软件的使用2 了解图形法的设计过程 二 实验内容1 在MAX+PLUSII 环境下,执行“File ”→“New ”命令,弹出如图1.1所示的“编辑文件类型”对话框,选择“Graphic Editor file ”后单击“OK ”按钮,进入MAX+PLUSII 图形编辑方式,其界面如图1.2所示。
图形编辑界面中的空白处,即原理图编辑区,相当于一张空白图纸,设计者可以在此画出自己的电路设计图。
图1.1 编辑文件类型对话框图形编辑器文件 符号编辑器文件 文本编辑器文件 波形编辑器文件图1.2图形编辑器界面在原理图编辑区的任何一个空白位置上双击鼠标的左键,将弹出一个“元件选择”对话框,如图1.3所示。
调出所需的器件后,连线,修改输入输出引脚的名称,存盘。
原理图如下:由此输入所需的元件名用户自己设置的元件库基本逻辑元件库老式宏函数元件库参数可设置的强函数元件库基本逻辑元件库的元件图1.3 元件选择对话框 图1.4 模12进制原理图2 编译执行“File ” →“Project ” →“Set Project Current File ”。
单击“MAX+plusII ” →“Compiler ”,在弹出的编译对话框中单击“Start ”按钮,即可对当前文件进行编译。
如果当前文件不存在问题,编译后的结果如图2.1所示。
在编译中,MAX+plusII 自动完成编译网表提取(Compiler Netlist Extractor )、数据库建立(Database Bulder )、逻辑综合(Logic Synthesizer )、逻辑分割(Partitioner )、适配(Fitter )、延时网表提取(Timing SNF Extractor )和编译文件汇编(Assembler )等操作,并检查设计文件是否正确。
EDA技术实验指导书
EDA技术实验指导书(适用专业:计算机科学与技术、电子信息、农电)年级专业:学号:姓名:成绩:信息与电气工程学院目录1前言 (1)2 MAX+Plus II应用简介 (2)3实验项目 (23)实验一实体说明练习 (23)实验二全加器的设计 (26)实验三计数器和寄存器 (29)实验四移位寄存器 (32)实验五数字钟的设计 (34)1 前言近几十年由于超大规模集成电路和软件技术的快速发展,使数字系统集成到一片集成电路内成为可能,Altera、Xilinx、AMD等公司都推出了非常好的CPLD和FPGA产品,并为这些产品的设计配备了设计、下载软件,这些软件除了支持图形方式设计数字系统外,还支持设计多种数字系统的设计语言,使数字系统设计起来更加容易。
《EDA技术与单片机实验I指导书》是与理论课程《EDA技术》配套开出的,是电信、计算机等专业的一门专业实验课程,对各专业的学生具有非常重要的作用。
本实验课与理论课同时进行,与理论课有着较密切的联系。
《EDA技术》是数字电路与逻辑设计的后续课程,需要上机进行实际操作,因此同学们在做本实验之前必须具备以下的基础知识:1.数字电路的基础知识;2.电子计算机常用操作系统的使用方法;3.必须有一定的理论知识做基础,与理论课同时进行。
其主要任务是让学生了解数字集成电路及其设计方法的发展现状,熟悉大规模可编程专用集成电路CPLD/FPGA的内部结构,掌握一种硬件描述语言,并具备使用VHDL进行数字电路系统设计的能力。
《EDA技术与单片机实验I指导书》中的内容是实验环节非常重要的部分,也是理解和巩固理论知识的过程,目的是让学生熟悉可编程专用集成电路的设计,开发流程,熟练掌握一种EDA设计工具,提高学生应用计算机技术进行数字电路与数字系统的设计和辅助分析的能力。
本书的目的就是帮助学生学会设计数字系统的硬件描述语言VHDL、并熟悉Altera公司产品和软件Max+PlusⅡ。
EDA电路设计基础-DC-DC变换电路
③混合脉冲宽度调制
脉冲周期TS与宽度ton 均改变。
广义的脉冲宽度 调制技术包含上 述三种控制方式
u TS u
TS2
ton1
t ton2
t
3.1.2 PWM技术基础
1.面积等效原理——PWM应用的理论基础
自动控制理论冲量相等而形状不同的窄脉冲 加在具有惯性的环节上时,其效果基本相同。
e(t)
e(t)
重点:电路结构、工作原理及主要数量关系
第3章 DC/DC变换电路
直流变换—将直流电能(DC)转换成另一 固定电压或电压可调的直流电能。
直流变换电路—完成直流变换的电路。
直流变换器—实现直流变换的装置。
3.1 直流PWM控制技术基础
3.1.1 直流变换的基本原理及PWM概念
直流变换问题的提出
直流供电电压一定,而负载需要不同电压 直流调速:需要可变的直流电压 直流升压:太阳能电池输出电压较低,需要
R两端平均电压:
Uo
ton Ts
US
DU S
通过控制 占空比控制输 出电压
3.1.1 直流变换的基本原理及PWM概念
改变占空比D有三种基本方法:
①脉冲频率调制(PFM)
维持ton不变,改变 TS。改变TS就改变 了输出电压周期或 频率。
D1
ton Ts1
ton Ts 2
D2
u TS1 u
TS2
3.1 直流PWM控制技术基础
3.1.1 直流变换的基本原理及PWM概念
iS
T US
io
uo R
开关管仅两种工作状态: 接通与断开
基本的直流变换电路
iS
io
(2)开关管T断开时, R两端电压 uo=0
DC实验报告流程
实验一DC(design compile)的基本使用流程Design Compiler是Synopsys综合软件的核心产品。
它提供约束驱动时序最优化,并支持众多的设计类型,把设计者的HDL描述综合成与工艺相关的门级设计;它能够从速度、面积和功耗等方面来优化组合电路和时序电路设计,并支持平直或层次化设计。
Design Compiler的功能利用Design Compiler,设计者可以:●利用用户指定的门阵列、FPGA或标准单元库,生成高速、面积优化的ASIC;●能够在不同工艺技术之间转换设计;●探索设计的权衡,包括延时、面积和在不同负载、温度、电压情况的功耗等设计约束条件;●优化有限状态机的综合,包括状态的自动分配和状态的优化;●当第三方环境仍支持延时信息和布局布线约束时,可将输入网表和输出网表或电路图整合在一起输入至第三方环境;自动生成和分割层次化电路图其完整的流程见图-1。
●图 11)建立设计环境1)在工作目录下创建db(存放DC综合生成的项目db文件)、lib_syn(存放库文件)、log(存放综合程序运行报告)、netlist(存放综合网表)、rpt(存放综合结果的数据报告)、script(存放脚本文件)文件夹,并将.synpsys_dc文件拷到工作目录下。
2)DC启动时,会自动搜索工作目录下的.synpsys_dc文件,根据文件中的内容来设定综合环境。
因此,在启动DC前,可以先修改.synpsys_dc中库文件的路径(也可以在进入DC后设置)。
set search_path "$search_path ../ref/db ./scripts" ……指明库所在的路径set target_library "sc_max.db"……目标库set link_library "* sc_max.db"……链接库set symbol_library "sc.sdb" ……特征库在上述环境建立所需的各类库中,一般由生产商提供目标库,库中的各类cell用于逻辑映射,链接库则包括一些已经做好的设计和子模块,还包括了当前设计的目标库,门级网表实例化元件和单元都来自于它。
EDA实训指导书
EDA实训指导书CSH 1CSH 2XXXX在20世纪90年代初从计算机辅助设计、计算机辅助制造、计算机辅助测试和计算机辅助工程的概念发展而来。
电子设计自动化技术以计算机为工具。
设计人员使用HDL在EDA软件平台上完成设计文件,然后计算机自动完成逻辑编译、简化、分段、综合、优化、布局、布线和仿真,直到为特定目标芯片进行适当的编译、逻辑映射和编程下载。
EDA技术的出现极大地提高了电路设计的效率和可靠性,减轻了设计人员的劳动强度。
VHDL是一种非常重要的标准化程度最高的硬件描述语言它于1987年被美国电气和电子工程师协会采纳为美国电气和电子工程师协会#1076标准用它编写的源文件不仅是一个程序,也是一个文档。
它也是工程技术人员之间交换信息的文件,以便作为合同签署者之间的文件。
在“电子设计自动化技术”课程的理论学习中,我们详细介绍了基本数字电路的VHDL描述。
为了进一步掌握VHDL的综合应用,我们通过专门的培训周加强了实际操作能力。
本次培训现在提供了以上几个数字系统综合主题,供大家分析、练习、修改和挖掘为了提高分析练习的效果,在这里只提供简单的主题框图和注释作为提示。
同时,给出了用于调试的VHDL源代码。
CSH 4主题1带数字显示的秒表1,设计任务和要求1,设计带数字显示的秒表2、能准确计时和显示3、开机显示00.00.00 4,用户可以随时复位、暂停和计时5,最大计时59分钟,最小精度0.01秒2,可选器件EPM130208-2,公共阴极七段数码管,发光二极管,按键开关,电阻和电容3。
设计总体框图数字显示秒表总体框图如图1所示。
时钟输入频分电路时分复用解码显示定时模块图1秒表带数字显示器4的总体框图,源程序库ieee使用IEEE . STD _ logic _ 1164 . all;使用IEEE . STD _ logic _ arith . all;使用IEEE . STD _ logic _ unsigned . all;实体监视是端口(sel:out STD _ logic _ vector(6 down O1);信号seg:out STD _ logic _ vector(7down to 0);-管的输出信号begin stop:in STD _ logic;-,停止计数复位:在std_logic中;-重置cp2:insd _ logic);9年底手表;手表的架构行为是信号numl:STD _ logic _ vector(3 downto 0);信号num 2:STD _ logic _ vector(3 down to 0);信号num3:std_logic_vector (3下0);信号num 4:STD _ logic _ vector(3 down to 0);电子设计自动化技术培训csh信号编号5: std _ logic _ vector (3down至0);信号num 6:STD _ logic _ vector(3 down to 0);信号编号:std_logic_vector(3下0);信号numlet:STD _ logic _ vector(2 down to 0);信号计数:STD _ logic _ vector(17 down to 1);信号selsig:STD _ logic _ vector(6 down to 1);信号seg SIG:STD _ logic _ vector(7 down to 0);信号cp1:STD _ logic;信号cp3:STD _ logic;开始流程(cp2)-分频开始如果(cp2 ‘事件和cp2=‘1 ‘),然后如果(计数=“11000011010011111 “)然后计数。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
逻辑综合DC第一节 DC工具的基础知识Design Compiler是Synopsys逻辑综合工具,让RTL设计者可以在最短的时间内得到性能最高的电路。
DC得到全球60多个半导体厂商、380多个工艺库的支持。
DFT Compiler 提供独创的“一遍测试综合”技术和解决方案,它和Design Compiler、Physical Compiler系列产品集成在一起的,包含功能强大的扫描式可测性设计分析、综合和验证技术。
DFT Compiler 可以使设计者在设计流程的前期,很快而且方便的实现高质量的测试分析,确保时序要求和测试覆盖率要求同时得到满足。
DFT Compiler同时支持RTL级、门级的扫描测试设计规则的检查,以及给予约束的扫描链插入和优化,同时进行失效覆盖的分析。
DC工具还提供简便的功耗优化能力,能够自动将设计的功耗最小化,提供综合前的功耗预估能力,让设计者可以更好的规划功耗分布,在短时间内完成低功耗设计。
一.综合(Synthesis)的概念和设计流程1.综合(Synthesis)综合就是把思想转换为实现功能的可制造的设计。
综合是约束驱动和基于路径的设计。
在这里,逻辑综合也就是把行为级或RTL级的HDL描述转换为门级电路的过程,用公式表示就是:综合 = 翻译 + 优化 + 映射(Synthesis = Translation + Optimization + Mapping)逻辑综合的图形表示就是:图4-1 逻辑综合示意图2.设计编译器(Design Compiler,简称DC)DC是Synopsys综合工具的核心。
在对一个设计进行综合时,可以选用两种界面:A. Design Vision(简称DV)—图形窗口界面;B. dc_shell—命令行界面。
DV图形窗口界面的启动:> design_visiondc_shell命令行界面的启动:> dc_shelldc_shell界面的提示符为:dc_shell >dc_shell命令行界面支持两种脚本语言:dcsh模式和dctcl模式。
其中,dcsh是使用源于Synopsys的语言。
dctcl使用工具命令语言(Tool Command Langugae)。
tcl是一种开放型的工业标准语言。
它比dc_shell更加强大。
启动dcsh模式用dc_shell命令,启动dctcl模式用dc_shell –t。
大多数Synopsys 产品都支持和共享一个公用的中间结构—“db”格式。
db文件是描述文本数据的二进制已编译表格式。
DC可以读/写下面的所有格式:Verilog,VHDL,EDIF。
DC进行编译的过程通常都是建立在时钟步的基础上,它通过静态时序分析来实现对设计中时钟步的计算。
整个的DC设计流程如下所示:1图4-2 DC设计流程3.Setup文件及库把行为级描述转换为门级电路,在映射过程中,必须有技术库的支持,否则它将找不到参照的元器件。
为此在综合前必须在setup文件中设置综合所需的技术库。
这里所需的技术库的格式为.db和.sdb格式(一般由设备供应商提供)。
Synopsys的设计编译器(DC)提供了三个同名的setup 文件:synopsys_dc.setup,分别为:(1) 一个为安装目录下的setup 文件,它提供系统管理员指定的系统变量设置;(2) 第二个为根目录下的setup 文件,它提供设计者的工作环境变量的设置:公司的名字,设计者的名字,背景色等相关信息。
这是由用户设定的;(3) 还有一个是工作目录下的setup文件,它规定了设计所指定的DC值,如:search path,target library,link library,symbol library。
启动DC工具时,它依次读入这三个文件,且读的越后的文件优先级别更高,即相同的变量,后面的设置值将覆盖前面的值。
24.Link_library(链接库)指明了设计所参照的子设计的位置。
DC根据link_library寻找它所参照的设计。
如果参考设计的完整名字在link_library里没有定义,则需search_path中包括这参考设计的路径。
link_library定义了被单独使用的元器件的库的名字。
5.Target_library(目标库)指明了在优化设计时用到的元器件的库。
6.Symbol_library(符号库)包含了技术库元件的图形描述库。
7.读入设计DC的输入格式可以是Verilog、VHDL等硬件描述语言,可编程逻辑阵列(PLA),EDIF2000等。
对于HDL格式,DC要求用analyze和elaborate读进设计。
(1) analyze:读入VHDL或Verilog文件,检查语法和可综合逻辑,并把设计以中间格式保存在设计工作(Work)库中。
analyze后,在DV(Design Vision)中并看不到有什么东西出现。
analyze命令可以同时对若干个文件执行操作。
(2) elaborate:从工作库中把analyze后的中间文件转换为一个设计。
elaborate 命令用综合的操作符代替HDL的操作符,且决定正确的总线大小。
elaborate命令后,在DV中可以看到一个一个的电路模块。
一个文件一个,即一个entity(实体/VHDL)或module(模块/Verilog)一个。
elaborate一次只能对一个文件进行操作(这点需要注意)。
在这里需要注意是entity或module的名字,即设计的名字必须和文件名相同。
因为analyze后它存在WORK里的名字是设计的名字(entity or module)而不是源程序的文件名,所以如果elaborate的是文件名,那么如果设计和文件名不同,DC 将找不到你的设计,出现error。
(3) read:对于其他非HDL文件,DC用read命令读进设计,read命令并不产生中间文件,而是直接把他转换为了DC里的符号。
理论上,read可以读进所有的设计,不管是不是HDL文件,但是建议对HDL文件用analyze和elaborate读。
二.层次化设计设计中,为了从DC中能得到合适的结果,设计者必须合理的设计,从而使一个复杂的系统划分为多个子模块来实现,一方面可保证模块的可实现性,还有一方面保证可重用性。
通过划分任务,可使模块的大小和复杂都能有效控制,并且可方便的管理项目的开发,通过每一个模块的优化,可保证设计满足物理约束的条件。
如图4-3是一个顶层模块包含了A、B、C三个子模块,而根据电路复杂程度和设计重用性的要求,每个子模块可能又可以包含自己的多层子模块,如此下去,这就是层次化(Hierarchy)设计的概念。
三.时序与面积约束通过描述其设计环境、目标任务和设计规则来系统地约束设计。
约束主要包含时序和面积信息,它们通常是从规格说明中提取出来的。
DC用这些约束去综合和优化设计以符合其3目标任务。
各种约束条件设定如图4-3所示,变量的含义如下:图4-3 层次化及时序和面积约束(1) create_clock定义时钟周期。
例子:dc_shell> create_clock –period 10 find(port,Clk)(2) set_dont_touch_network告诉DC不要缓冲clock net,即使它驱动了很多的触发器。
一般此命令用于clock和reset 网络。
例子:dc_shell> create_clock -period 20 find(port,Clk)dc_shell> set_dont_touch_network find(clock ,Clk)(3) set_input_delay限制输入路径的延时。
set_input_delay delay_value [-clock clock_name][-clock_fall][-level_sensitive][-rise] [-fall] [-max] [-min][-add_delay] port_pin_list例子:set_input_delay -max 4 -clock Clk find(port,“A”)set_input_delay命令的参数是前面电路的delay数,如:clock 的周期为10。
若限制输入ports的延时是时钟周期的80%,则参数应为10-8=2。
不想包括的管脚可用-除掉,注意前后都有空格。
如:set_input_delay 2.0 –max –clock Clk all_inputs() – Clk4(4) set_output_delay约束输出路径的延时。
用法和set_input_delay相似。
set_output_delay delay_value [-clock clock_name][-clock_fall][-level_sensitive][-rise][-fall][-min][-max][-add_delay][-group_path group_name] port_pin_list 例子:set_output_delay -max 5.0 -clock Clk find(port,”s”)(5) set_output_delay延时数是后一个电路的建立时间,应为时钟周期减去outputs的延时数。
(6) report_clock查看时钟约束设置。
(7) report_port –verbose查看端口设置。
(8) write_script命令查看约束设置。
(9) reset_design和remove_design删除已有的约束或重新设置约束。
四.环境变量、设计规则与设计优化1.设计环境条件约束的环境变量如图4-4,几个环境变量的含义介绍如下:图4-4 环境变量5(1) set_operating_conditions描述了设计的工艺、电压和温度等条件。
通常以Worst、Typical、Best的情况进行描述。
技术库具有典型情况的特性。
我们可以用report_lib libname命令来查找芯片商提供的运行环境。
set_operating_conditions <name of operating conditions>例子:dc_shell> current_design =“addtwo”dc_shell> set_operating_conditions -max “typ_124_4.50”一般情况下,最坏(即最慢)的运行条件是最低的电压和最高的温度,最好(即最快)的运行条件是最高的电压和最低的温度。
对于设置the best case的运行条件是:set_operating_conditions -max WORSTC_OPCONDS –min BESTC_OPCONDS如果想检查设置,可以用report_port -verbose和report_design命令。