数字电子系统设计报告模板
数字电子技术课程设计报告模板
.《数字电子技术》课程设计题目:基于FPGA的汽车尾灯控制器设计院系:工学院专业班级:电子信息工程12秋1班姓名:学号:小组成员:指导教师:赵兰、周丽婕、徐振完成日期2015年1月目录1 引言 (1)2 Quartus II软件、FPGA硬件介绍 (2)2.1 Quartus II软件介绍 (2)2.2 FPGA硬件介绍 (2)3 汽车尾灯控制器的总体方案 (3)3.1汽车尾灯控制器的需求分析 (3)3.1.1基本要求 (3)3.1.2 特色功能 (3)3.2汽车尾灯控制器的总体工作原理 (3)4 汽车尾灯控制器的各模块仿真 (5)4.1 基本模块 (5)4.1.1 主控模块 (5)4.1.2 左边灯控制模块 (5)4.1.3 右边灯控制模块 (6)4.2 特色功能模块 (6)4.2.1 时钟变频模块 (6)4.2.2 定时器模块 (7)5 汽车尾灯控制器的整体电路仿真 (9)5.1 汽车尾灯控制器的整体框图 (9)5.2 汽车尾灯控制器的仿真波形 (9)6 程序下载调试 (10)6.1 汽车不同状态时的下载调试图 (10)6.1.1正常行驶状态 (10)6.1.2 汽车左、右转向时 (10)6.1.3 刹车状态 (10)6.1.4 故障状态 (10)7 心得体会 (12)参考文献 (13)附录 (14)1 引言汽车作为现代交通工具已大量进入人们的生活,随着电子技术的发展,对于汽车的控制电路,已经从过去的全人工开关控制发展到了智能控制。
……2 Quartus II软件、FPGA硬件介绍2.1 Quartus II软件介绍Quartus II 是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程[1]。
数字电子设计课程设计报告
课程设计任务书目录第一章数字电子部分 (1)1.1课程设计的目的与作用 (1)1.2.基本原理: (1)1.3三位同步二进制计数器计数器设计: (1)1.3.1三位二进制同步计数器状态图。
无效态(000,101) (1)1.3.2、选择触发器、求时钟方程、输出方程、状态方程和结果 (2)1.3.3 逻辑接线图 (6)1.3.4仿真结果 (7)1.4串行序列检测器设计 (10)1.4.1串行序列检测器状态图(检查信号0101) (10)1.4.2选择触发器、求时钟方程、输出方程、状态方程和结果 (10)1.4.3、逻辑接线图 (13)1.4.4仿真结果 (14)1.5设计总结和体会 (16)1.6参考文献 (16)第二章2 模拟电子设计部分 (17)2.1 课程设计的目的与作用 (17)2.1.1课程设计的目的 (17)2.1.2课程设计作用 (17)2.2 设计任务、及所用multisim软件环境介绍 (17)1.2.1设计任务: (17)1.2.2 Multisim环境介绍: (18)2.3积分电路Multisim仿真设计 (18)2.3.1基本原理 (18)2.3.2电路模型的建立 (19)2.3.3理论分析及计算 (19)2.3.4仿真结果分析 (19)2.3.5结论 (20)2.4反相求和电路仿真设计 (20)2.4.1设计基本原理: (20)2.4.2电路模型的建立 (21)2.4.3理论分析及计算 (21)2.4.4仿真结果分析 (21)2.4.5结论 (22)2.5滞回比较器Multisim仿真设计 (22)2.5.1设计基本原理 (22)2.5.2电路模型的建立 (23)2.5.3理论分析及计算 (23)2.6误差分析 (24)2.7 设计总结和体会 (25)2.8 参考文献 (25)第一章数字电子部分1.1课程设计的目的与作用(1) 熟练掌握三位二进制加法器的设计和检测。
(2)学习并掌握信号的简单检测以及其电路的设计。
数字系统设计实验报告
一、实验目的1. 理解数字系统设计的基本概念和流程。
2. 掌握数字电路的基本设计方法和技巧。
3. 熟悉常用数字集成电路的使用方法。
4. 培养实际动手能力和团队协作精神。
二、实验内容本次实验主要围绕数字系统设计展开,包括以下几个方面:1. 数字电路原理图绘制与仿真2. 数字系统硬件描述语言(HDL)编程3. 顶层模块设计4. 系统仿真与调试三、实验步骤1. 数字电路原理图绘制与仿真(1)根据实验要求,设计数字电路原理图,如数字时钟、移位寄存器等。
(2)使用Multisim等仿真软件对原理图进行仿真,验证电路功能。
2. 数字系统硬件描述语言(HDL)编程(1)根据原理图,使用Verilog或VHDL等HDL语言编写代码。
(2)对代码进行语法检查,确保代码正确。
3. 顶层模块设计(1)根据实验要求,设计顶层模块,如数字时钟控制器、移位寄存器控制器等。
(2)将底层模块(如计数器、触发器等)集成到顶层模块中。
4. 系统仿真与调试(1)使用仿真软件对顶层模块进行仿真,验证系统功能。
(2)根据仿真结果,对代码进行修改和优化,直至系统功能满足要求。
四、实验结果与分析1. 数字电路原理图绘制与仿真(1)原理图设计:根据实验要求,设计了一个数字时钟电路原理图,包括分频器、计数器、触发器等模块。
(2)仿真结果:通过仿真软件对原理图进行仿真,验证了电路功能。
2. 数字系统硬件描述语言(HDL)编程(1)代码编写:使用Verilog语言编写了数字时钟电路的代码,包括分频器、计数器、触发器等模块。
(2)代码验证:通过语法检查,确保代码正确。
3. 顶层模块设计(1)顶层模块设计:根据实验要求,设计了一个数字时钟控制器顶层模块,将底层模块集成到顶层模块中。
(2)系统仿真:通过仿真软件对顶层模块进行仿真,验证了系统功能。
4. 系统仿真与调试(1)系统仿真:通过仿真软件对顶层模块进行仿真,验证了系统功能。
(2)调试:根据仿真结果,对代码进行修改和优化,直至系统功能满足要求。
电子系统设计实验报告
实验报告格式要求二.实验目的、任务和要求:本实验要求设计SCI串行接口芯片, 其功能包括串行及并行数据的接收和互相转换。
三.实验系统结构设计分析1.模块划分思想和方法:该芯片需根据功能分为串并转换电路和并串转换电路两部分。
实现串并转换的关键器件就是移位寄存器, 其功能可以使串行输入的数据先寄存到一个位矢量中, 等到一组数据全部输入完毕后再一起处理, 并行输出。
而实现并串转换的关键器件是锁存器, 它可以将并行输入的数据先锁存起来, 再一位一位的转化成串行数据。
计数器在这一芯片中也起到了重要作用, 因为计数器可以产生时间脉冲的分频, 用于配合时间脉冲控制各器件的工作。
2.各模块引脚定义和作用.串并电路:输入: rxd读入数据, clk系统时钟, reset计数器复位端, rd读入控制四进制计数器:C4四分频十进制计数器:Count_10计数分量, C10四十分频(c4的十分频)移位寄存器:Read读入数据, d0~d9并行输出(d0起始端, d1~d8数据端, d9校验位(本实验中不起作用))锁存器:K0~k7数据位状态发生器:RdST读入状态(0为读入, 1为寄存器已满)四.实验代码设计以及分析:1.给出模块层次图;2.按模块完成的代码及注释.USE IEEE.STD_LOGIC_1164.ALL;ENTITY SCI ISPORT(cs,rxd,clk,SCIrd,reset,SCIwr,in7,in6,in5,in4,in3,in2,in1,in0: IN STD_LOGIC;rdFULL,tdEMPTY,c4:buffer std_logic;e7,e6,e5,e4,e3,e2,e1,e0,wxd:OUT STD_LOGIC);END SCI;ARCHITECTURE WORK OF SCI ISSIGNAL wr,rd,read,c10,d9,d8,d7,d6,d5,d4,d3,d2,d1,d0,k7,k6,k5,k4,k3,k2,k1,k0,mid: STD_ULOGIC;SIGNAL wri : STD_LOGIC_vector(7 downto 0);SIGNAL count_10 ,counter_8:std_logic_vector(3 downto 0);BEGINPROCESS(cs)BEGINrd<=cs OR SCIrd;wr<=cs OR SCIwr;END PROCESS;//注释: 片选输入, cs=1时, 串入并出为“写”, 并入串出为“读”;cs=0时, 串入并出为“读”, 并入串出为“写”;PROCESS(rxd)BEGINread<=rxd;END PROCESS;PROCESS(clk)VARIABLE count_4 : STD_LOGIC_VECTOR(1 DOWNTO 0);BEGINIF(clk'EVENT AND clk='1')THENIF(count_4="00")THENcount_4 := "01";c4 <= '1';ELSIF(count_4="01")THENcount_4 := "11";c4 <= '1';ELSIF(count_4="11")THENcount_4 := "10";c4 <= '0';ELSIF(count_4="10")THENcount_4 := "00";c4 <= '0';END IF;END IF;END PROCESS;PROCESS(c4)BEGINIF(c4'EVENT AND c4='1')THENIF(rd='1')THENd0<=read;d1<=d0;d2<=d1;d3<=d2;d4<=d3;d5<=d4;d6<=d5;d7<=d6;d8<=d7;d9<=d8;END IF;END IF;END PROCESS;PROCESS(c4,reset,rd)BEGINIF(reset='0' OR rd='0')THEN count_10<="0000";c10 <= '0';ELSIF(c4'EVENT AND c4='1')THENIF(count_10="0000" AND rxd='1' AND rdFULL='0')THEN count_10 <= "0001";c10 <= '0';ELSIF(count_10="0001")THENcount_10 <= "0010";c10 <= '0';ELSIF(count_10="0010")THENcount_10 <= "0011";c10 <= '0';ELSIF(count_10="0011")THENcount_10 <= "0100";c10 <= '0';ELSIF(count_10="0100")THENcount_10 <= "0101";c10 <= '0';ELSIF(count_10="0101")THENcount_10 <= "0110";c10 <= '0';ELSIF(count_10="0110")THENcount_10 <= "0111";c10 <= '0';ELSIF(count_10="0111")THENcount_10 <= "1000";c10 <= '0';ELSIF(count_10="1000")THENcount_10 <= "1001";c10 <= '0';ELSIF(count_10="1001")THENcount_10 <= "1010";c10 <= '0';ELSIF(count_10="1010")THENcount_10 <= "1011";c10 <= '1'; END IF;END IF;END PROCESS;PROCESS(c10)BEGINIF(c10'EVENT AND c10='1')THENk7<=d8;k6<=d7;k5<=d6;k4<=d5;k3<=d4;k2<=d3;k1<=d2;k0<=d1;END IF;END PROCESS;PROCESS(rd)BEGINIF(rd='0')THENe7<=k7;e6<=k6;e5<=k5;e4<=k4;e3<=k3;e2<=k2;e1<=k1;e0<=k0;END IF;END PROCESS;PROCESS(rd,c10)BEGINIF(rd='0')THEN rdFULL<='0';ELSIF(c10='1')THENrdFULL<='1';ELSE rdFULL<='0';END IF;END PROCESS;process(wr)beginif(wr='0')thenwri(0)<=in0;wri(1)<=in1;wri(2)<=in2;wri(3)<=in3;wri(4)<=in4;wri(5)<=in5;wri(6)<=in6;wri(7)<=in7;end if;end process;process(c4)beginif(c4'event and c4='1')thenif(wr='0')thencounter_8<="0000";elsif(wr='1' and counter_8="0000")then counter_8<="0001"; elsif(counter_8="0001")then counter_8<="0010";elsif(counter_8="0010")then counter_8<="0011";elsif(counter_8="0011")then counter_8<="0100";elsif(counter_8="0100")then counter_8<="0101"; elsif(counter_8="0101")then counter_8<="0110";elsif(counter_8="0110")then counter_8<="0111";elsif(counter_8="0111")then counter_8<="1000";elsif(counter_8="1000")then counter_8<="1001";end if;end if;end process;process(wr,counter_8)beginif(wr='1' and counter_8="1001")thenmid<='1';tdEMPTY<='1';elsif(wr='0')thenmid<='0';tdEMPTY<='0';end if;end process;process(counter_8)beginif(wr='0' or mid='1')thenwxd<='0';elsif(wr='1' and mid='0')thenif(counter_8="0001")thenwxd<=wri(0);elsif(counter_8="0010")thenwxd<=wri(1);elsif(counter_8="0011")thenwxd<=wri(2);elsif(counter_8="0100")thenwxd<=wri(3);elsif(counter_8="0101")thenwxd<=wri(4);elsif(counter_8="0110")thenwxd<=wri(5);elsif(counter_8="0111")thenwxd<=wri(6);elsif(counter_8="1000" )thenwxd<=wri(7);end if;end if;end process;END WORK;五.仿真图(输入输出波形)以及分析:六.实验问题分析和经验总结:在该实验的设计中, 我们发现时序逻辑中最重要的部分就是时间信号对各进程的控制, 因为为了保持各进程在时间上的同步性和正确性, 需要用一个或几个相关联的时间信号来控制各进程。
电子系统设计实验报告
电子系统设计实验报告电子系统设计实验报告引言:电子系统设计是现代科技领域中非常重要的一部分,它涉及到电子元件、电路设计、信号处理等多个方面的知识。
本次实验旨在通过设计一个简单的电子系统来加深对电子系统设计的理解和掌握。
实验目的:本次实验的目的是设计一个基于Arduino的温度监测系统。
通过该系统,能够实时监测环境温度并将数据显示在LCD屏幕上。
实验器材:1. Arduino开发板2. 温度传感器3. LCD显示屏4. 连接线等实验步骤:1. 首先,将温度传感器与Arduino开发板连接。
将传感器的VCC引脚连接到5V引脚,GND引脚连接到GND引脚,将信号引脚连接到Arduino的A0引脚。
2. 接下来,连接LCD显示屏。
将显示屏的VCC引脚连接到5V引脚,GND引脚连接到GND引脚,将SDA引脚连接到A4引脚,SCL引脚连接到A5引脚。
3. 在Arduino开发环境中编写代码。
首先,需要包含所需的库文件,如LiquidCrystal_I2C库和Wire库。
然后,定义温度传感器引脚和LCD显示屏的相关参数。
接着,在setup函数中初始化LCD显示屏,并设置显示屏的列数和行数。
在loop函数中,通过调用温度传感器库函数获取环境温度,并将其显示在LCD屏幕上。
4. 将Arduino开发板与电脑连接,并上传代码到开发板上。
5. 实验完成后,观察LCD屏幕上的温度显示,确保温度监测系统正常工作。
实验结果:经过实验,我们成功设计并实现了一个基于Arduino的温度监测系统。
该系统能够准确地测量环境温度,并将数据实时显示在LCD屏幕上。
通过该系统,我们可以方便地监测环境温度的变化。
实验总结:通过本次实验,我们对电子系统设计有了更深入的了解。
我们学会了如何使用Arduino开发板和相关传感器进行电子系统的设计。
同时,我们也掌握了如何编写代码并将其上传到开发板上。
这些技能对于今后从事电子系统设计工作将非常有帮助。
数字系统设计及实验实验报告
数字系统设计及实验实验报告一、实验目的数字系统设计及实验课程旨在让我们深入理解数字逻辑的基本概念和原理,掌握数字系统的设计方法和实现技术。
通过实验,我们能够将理论知识应用于实际,提高解决问题的能力和实践动手能力。
本次实验的具体目的包括:1、熟悉数字电路的基本逻辑门、组合逻辑电路和时序逻辑电路的设计方法。
2、掌握使用硬件描述语言(如 Verilog 或 VHDL)进行数字系统建模和设计。
3、学会使用相关的电子设计自动化(EDA)工具进行电路的仿真、综合和实现。
4、培养团队合作精神和工程实践能力,提高解决实际问题的综合素质。
二、实验设备和工具1、计算机:用于编写代码、进行仿真和综合。
2、 EDA 软件:如 Quartus II、ModelSim 等。
3、实验开发板:提供硬件平台进行电路的下载和测试。
4、数字万用表、示波器等测量仪器:用于检测电路的性能和信号。
三、实验内容1、基本逻辑门电路的设计与实现设计并实现与门、或门、非门、与非门、或非门和异或门等基本逻辑门电路。
使用 EDA 工具进行仿真,验证逻辑功能的正确性。
在实验开发板上下载并测试实际电路。
2、组合逻辑电路的设计与实现设计一个 4 位加法器,实现两个 4 位二进制数的相加。
设计一个编码器和译码器,实现数字信号的编码和解码。
设计一个数据选择器,根据控制信号选择不同的输入数据。
3、时序逻辑电路的设计与实现设计一个同步计数器,实现模 10 计数功能。
设计一个移位寄存器,实现数据的移位存储功能。
设计一个有限状态机(FSM),实现简单的状态转换和控制逻辑。
四、实验步骤1、设计方案的确定根据实验要求,分析问题,确定电路的功能和性能指标。
选择合适的逻辑器件和设计方法,制定详细的设计方案。
2、代码编写使用硬件描述语言(如 Verilog 或 VHDL)编写电路的代码。
遵循代码规范,注重代码的可读性和可维护性。
3、仿真验证在 EDA 工具中对编写的代码进行仿真,输入不同的测试向量,观察输出结果是否符合预期。
数字系统实验报告模板
实验名称:____________________实验日期:____________________实验地点:____________________一、实验目的1. 理解数字系统的基本组成和原理。
2. 掌握数字电路的基本实验方法和技能。
3. 提高对数字电路的分析和设计能力。
二、实验原理(一)实验背景简要介绍数字系统的概念、发展历程及其在现代社会中的应用。
(二)实验原理1. 数字电路的基本组成和功能。
2. 数字电路的常用逻辑门及其功能。
3. 数字电路的时序逻辑电路和组合逻辑电路的设计方法。
三、实验仪器与设备1. 数字电路实验箱2. 数字万用表3. 移动电源4. 实验指导书5. 计算器四、实验内容与步骤(一)实验内容1. 逻辑门电路实验2. 组合逻辑电路实验3. 时序逻辑电路实验(二)实验步骤1. 逻辑门电路实验(1)熟悉实验箱的各个功能模块。
(2)搭建简单的逻辑门电路,如与门、或门、非门等。
(3)验证电路功能,并观察输出波形。
(4)记录实验数据,分析实验结果。
2. 组合逻辑电路实验(1)根据实验要求,设计组合逻辑电路。
(2)搭建电路,连接实验箱各个模块。
(3)输入不同的输入信号,观察输出波形。
(4)记录实验数据,分析实验结果。
3. 时序逻辑电路实验(1)熟悉时序逻辑电路的基本原理。
(2)搭建简单的时序逻辑电路,如计数器、寄存器等。
(3)观察电路状态变化,分析电路功能。
(4)记录实验数据,分析实验结果。
五、实验结果与分析(一)实验结果1. 逻辑门电路实验结果2. 组合逻辑电路实验结果3. 时序逻辑电路实验结果(二)实验分析1. 分析实验数据,验证实验原理的正确性。
2. 分析实验过程中遇到的问题及解决方法。
3. 总结实验经验,提出改进建议。
六、实验结论1. 通过本次实验,掌握了数字电路的基本组成和原理。
2. 提高了数字电路的实验技能和设计能力。
3. 对数字电路在现代社会中的应用有了更深入的了解。
七、实验心得体会1. 总结实验过程中的收获和不足。
数字电路与系统设计实验报告
数字电路与系统设计实验报告学院:班级:姓名:实验一基本逻辑门电路实验一、实验目的1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。
2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。
二、实验设备1、二输入四与非门74LS00 1片2、二输入四或非门74LS02 1片3、二输入四异或门74LS86 1片三、实验内容1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。
2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。
3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。
四、实验方法1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。
2、用实验台的电平开关输出作为被测器件的输入。
拨动开关,则改变器件的输入电平。
3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。
指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。
五、实验过程1、测试74LS00逻辑关系(1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯)(2)真值表2、测试74LS02逻辑关系(1)接线图(2)真值表3、测试74LS86逻辑关系接线图(1)接线图(2)真值表六、实验结论与体会实验是要求实践能力的。
在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。
实在检查不出来,可以请老师和同学帮忙。
实验二逻辑门控制电路实验一、实验目的1、掌握基本逻辑门的功能及验证方法。
2、掌握逻辑门多余输入端的处理方法。
3、学习分析基本的逻辑门电路的工作原理。
二、实验设备1、基于CPLD的数字电路实验系统。
2、计算机。
三、实验内容1、用与非门和异或门安装给定的电路。
2、检验它的真值表,说明其功能。
四、实验方法按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。
电子系统设计报告
电子系统设计报告一、目的加强对51单片机的了解,深入地学习和系统的架构基于51单片机的简单系统。
了解其构造和功能以及外围电路。
从而更好地掌握接口技术的原理,并认识相关电子元件和电子芯片。
二、内容要求根据“小猪〞自己确定的题目,制作基于51单片机的相应作品。
题目:闹钟作品功能:显示时间、调节时间、显示闹钟时间、调节闹钟时间、到点闹钟、留声等。
三、主要元件ISD1760、扬声器、数码管、按键、STC52四、成员分工小猪做的是单片机最小系统和数码管显示及按键模块的电路,留声模块由“鸟才〞制作。
软件也是小猪编写〔为表达课程特点我使用的是汇编语言,伟福软件〕。
五、系统说明单片机P0口接4 4键盘,实现控制功能,P1口输出数码管段选码,P2 口低三位输出位选码由138译码器译码;P3.0控制扬声器播放录音;录音操作由语音芯片完成。
六、电路框图七、程序框图八、软件清单〔汇编写得相对长了点比拟无奈〕hen0 bit p0.0 ;预定义键盘横向位hen1 bit p0.1hen2 bit p0.2hen3 bit p0.3shu0 bit p0.4 ;预定义键盘竖向位shu1 bit p0.5shu2 bit p0.6shu3 bit p0.7shi1 equ 39hshi2 equ 38hshifen equ 3ah ;预定义时间缓存fen1 equ 3chfen2 equ 3bhfenmiao equ 3dhmiao1 equ 3fhmiao2 equ 3ehnshi1 equ 32h ;预定义闹钟时间缓存nshi2 equ 31hnshifen equ 33hnfen1 equ 35hnfen2 equ 34hnfenmiao equ 36hnmiao1 equ 38hnmiao2 equ 37horg 00hljmp mainorg 0bhljmp time0org 40hmain:movnmiao1,#0 ;缓存初始化mov nmiao2,#0mov nshifen,#10mov nfenmiao,#10mov nshi1,#0mov nshi2,#0mov nfen1,#0mov nfen2,#0mov miao1,#0mov miao2,#5mov shifen,#10mov fenmiao,#10 mov shi1,#3mov shi2,#2mov fen1,#9mov fen2,#5mov r2,#250mov p3,#0ffhMOVTMOD,#21h ;定时器模式MOVTH0,#240 ;定时中断时间MOV TL0,#96SETB TR0SETB ET0SETB EAsjmp $ jianpan:push amov a,#0if_press:;第一次扫描横向mova,#00001111Bmov p0,aclr cmov a,#0mov c,hen0rlc amov c,hen1rlc amov c,hen2rlc amov c,hen3rlc acjnea,#0fh,if_press2pop aretif_press2:;第二次扫描横向acalldelay1ms ;去抖clr cmov a,#0mov c,hen0rlc amov c,hen1rlc amov c,hen2rlc amov c,hen3rlc acjnea,#0fh,getnumberpop aretgetnumber:;读键值swap amov r7,amova,#11110000bmov p0,amov a,#0clr cmov c,shu0rlc amov c,shu1rlc amov c,shu2rlc amov c,shu3rlc aadd a,r7cpl acjnea,#00010001b,read1 ;定义键功能mov a,#1incfen1 ;时间分低位加一sjmpnumber_outread1:cjnea,#00010010b,read2mov a,#2decfen1 ;时间分低位减一sjmpnumber_outread2:cjnea,#00010100b,read3mov a,#3incfen2 ;时间分高位加一sjmpnumber_outread3:cjnea,#00011000b,read4mov a,#4decfen2 ;时间分高位减一sjmpnumber_outread4:cjnea,#00100001b,read5mov a,#5inc shi1sjmpnumber_outread5:cjnea,#00100010b,read6mov a,#6dec shi1sjmpnumber_outread6:cjnea,#00100100b,read7mov a,#7inc shi2sjmpnumber_outread7:cjne a,#00101000b,read8mov a,#8dec shi2sjmp number_outread8:cjne a,#01000001b,read9mov a,#9sjmp number_outread9:cjne a,#01000010b,read10mov a,#0ahsjmp number_outread10:cjne a,#01000100b,read11mov a,#0bhsjmp number_outread11:cjne a,#01001000b,read12mov a,#0chsjmp number_outread12:cjne a,#10000001b,read13mov a,#0dhsjmp number_outread13:cjne a,#10000010b,read14mov a,#0ehsjmp number_outread14:cjne a,#10000100b,read15mov a,#0fhsjmp number_outread15:cjne a,#10001000b,number_outmov a,#0FFhclr EAljmp nshezhi进入闹钟设置number_out:检查是否溢出,调整缓存数值mov a,shi2cjne a,#2,ookmov a,shi1cjne a,#2,ok_2ljmp ookok_2: cjne a,#1,ok_1ljmp ookok_1: cjne a,#0,ok_0ljmp ookok_0:mov shi1,#3ook: mov a,fen1cjne a,#10,fen1_okmov fen1,#0sjmp backfen1_ok:cjnea,#255,fen1_ok1mov fen1,#9sjmp backfen1_ok1:mov a,fen2cjne a,#6,fen2_okmov fen2,#0sjmp backfen2_ok:cjnea,#255,fen2_ok1mov fen2,#5sjmp backfen2_ok1:mov a,shi1cjne a,#10,shi1_okmov shi1,#0sjmp backshi1_ok:cjne a,#255,shi1_ok1mov shi1,#9sjmp backshi1_ok1:mov a,shi2cjne a,#3,shi2_okmov shi2,#0sjmp backshi2_ok:cjne a,#255,shi2_ok1mov shi2,#2mov a,shi1cjne a,#3,ok3sjmp backok3: cjne a,#2,ok2sjmp backok2: cjne a,#1,ok1sjmp backok1: cjne a,#0,ok0sjmp backok0:mov shi1,#3sjmp backshi2_ok1:cjne a,#2,backmov a,shi1cjne a,#4,backmov shi1,#0mov shi2,#0sjmp backback:pop aretdelay1ms: mov r5,#10delay1ms2: mov r6,#95delay1ms1: djnz r6,delay1ms1djnzr5,delay1ms2retdelay30ms:mov r5,#150delay30ms2:mov r6,#200delay30ms1:djnz r6,delay1ms1djnzr5,delay1ms2retnaozhong: 闹钟时间比拟mov a,miao1cjnea,#0,naozhong_backmov a,miao2cjnea,#0,naozhong_backmov a,fen1cjnea,nfen1,naozhong_backmov a,fen2cjnea,nfen2,naozhong_backmov a,shi1cjnea,nshi1,naozhong_backmov a,shi2cjnea,nshi2,naozhong_backmov p3,#0mov r6,#30 naoback:retnaozhong_back:djnz r6,naobackmov p3,#0ffhrethui_main:lcall naozhongpop aretitime0: 中断push amov th0,#240mov tl0,#96lcall display 显示扫描djnz r2,hui_mainmov r2,#250lcall jianpan 键盘查询inc miao1mov a,miao1cjnea,#10,hui_mainmov miao1,#0 ;秒两位之间进位inc miao2mov a,miao2cjne a,#6,hui_mainmov miao2,#0 ;秒到分的进位inc fen1mov a,fen1cjnea,#10,hui_mainmov fen1,#0 ;分的进位inc fen2mov a,fen2cjne a,#6,hui_mainmov fen2,#0 ;分到时的进位inc shi1mov a,shi1cjnea,#4,budengyusisjmp shifoyichubudengyusi:cjnea,#10,hui_mainmov shi1,#0 ;时的进位inc shi2shifoyichu:mov a,shi2cjne a,#2,hui_mainmova,shi1 ;??时间的溢出cjne a,#4,hui_mainmovshi1,#0 ;24小时后时间归零mov shi2,#0ljmp hui_maindisplay: 时间显示mov r5,#8mov r0,#3fhdisplay1:mov a,r0movdptr,#shumayizhimovc a,a+dptrdec r5dec r0mov p2,r5 ;控制数码管位选mov p1,a ;p1口接数码管lcall delaycjne r5,#0,display1retdelay: mov r4,#0ffhdlll: djnz r4,dlllretnshezhi: 闹钟设置push anjianpan:nif_press:;第一次扫描横向mova,#00001111Bmov p0,aclr cmov a,#0mov c,hen0rlc amov c,hen1rlc amov c,hen2rlc amov c,hen3rlc acjnea,#0fh,nif_press2lcall ndisplaymov 00h,#100 ;标记sjmp nif_press nif_press2:;第二次扫描横向lcalldelay30ms ;去抖clr cmov a,#0mov c,hen0rlc amov c,hen1rlc amov c,hen2rlc amov c,hen3rlc acjnea,#0fh,ngetnumbersjmp nif_press ngetnumber:;读键值swap amov r7,amova,#11110000bmov p0,amov a,#0clr cmov c,shu0rlc amov c,shu1rlc amov c,shu2rlc amov c,shu3rlc aadd a,r7cpl alcall delay30mscjne a,00h,rightljmp nif_pressright:mov r0,acjnea,#00010001b,nread1mov a,#1inc nfen1ljmpnnumber_outnread1:cjnea,#00010010b,nread2mov a,#2dec nfen1ljmpnnumber_outnread2:cjnea,#00010100b,nread3mov a,#3inc nfen2sjmpnnumber_outnread3:cjnea,#00011000b,nread4mov a,#4dec nfen2sjmpnnumber_outnread4:cjnea,#00100001b,nread5mov a,#5inc nshi1sjmpnnumber_outnread5:cjnea,#00100010b,nread6mov a,#6dec nshi1sjmpnnumber_outnread6:cjnea,#00100100b,nread7mov a,#7inc nshi2sjmpnnumber_outnread7:cjnea,#00101000b,nread8mov a,#8dec nshi2sjmpnnumber_outnread8:cjnea,#01000001b,nread9mov a,#9sjmpnnumber_outnread9:cjnea,#01000010b,nread10mov a,#0ahsjmpnnumber_outnread10:cjnea,#01000100b,nread11mov a,#0bhsjmpnnumber_outnread11:cjnea,#01001000b,nread12mov a,#0chsjmpnnumber_outnread12:cjnea,#10000001b,nread13mov a,#0dhsjmpnnumber_outnread13:cjnea,#10000010b,nread14mov a,#0ehsjmpnnumber_outnread14:cjnea,#10000100b,nread15mov a,#0fhpop aMOVTMOD,#21hMOVTH0,#240 ;T0=4MSMOV TL0,#96SETB TR0SETB ET0SETB EAljmp number_outnread15:cjne a,#10001000b,nnumber_outmov a,#0FFh nnumber_out:mov a,nshi2cjne a,#2,nookmov a,nshi1cjne a,#2,nok_2ljmp nooknok_2: cjne a,#1,nok_1ljmp nooknok_1: cjne a,#0,nok_0ljmp nooknok_0:mov nshi1,#3nook: mov a,nfen1cjne a,#10,nfen1_okmov nfen1,#0sjmp nbacknfen1_ok:cjnea,#255,nfen1_ok1mov nfen1,#9sjmp nbacknfen1_ok1:mov a,nfen2cjne a,#6,nfen2_okmov nfen2,#0sjmp nbacknfen2_ok:cjnea,#255,nfen2_ok1mov nfen2,#5sjmp nbacknfen2_ok1:mov a,nshi1cjne a,#10,nshi1_okmov nshi1,#0sjmp nbacknshi1_ok:cjnea,#255,nshi1_ok1mov nshi1,#9sjmp nbacknshi1_ok1:mov a,nshi2cjne a,#3,nshi2_okmov nshi2,#0sjmp nbacknshi2_ok:cjnea,#255,nshi2_ok1mov nshi2,#2mov a,nshi1cjne a,#3,nok3sjmp nbacknok3: cjne a,#2,nok2sjmp nbacknok2: cjne a,#1,nok1sjmp nbacknok1: cjne a,#0,nok0sjmp nbacknok0:mov nshi1,#3sjmp nbacknshi2_ok1:cjne a,#2,nbackmov a,nshi1cjne a,#4,nbackmov nshi1,#0mov nshi2,#0lcall ndisplaynback:ljmp njianpanndisplay:mov r3,#8mov r0,#38h ;与闹钟时高位对应ndisplay1:mov a,r0movdptr,#shumayizhimovc a,a+dptrdec r3dec r0mov p2,r3 ;控制数码管位选mov p1,a ;p1口接数码管lcall delay1mscjne r3,#0,ndisplay1ret;闹钟完毕shumayizhi:DB3FH,06H,5BH,4FH,66H,6DH,7DH;共阴字码表DB07H,7FH,6FH,40hend九、主要难点小猪觉得硬件上不存在问题。
数字系统设计报告
(2)74LS 芯片的输出能够作为 74HCT 芯片的输入使用。 实际上,在考虑输出负载能力的情况下,上述的推论也是正确的。应当
指出,虽然在教科书中和各种器件资料中,74LS 芯片的输出作为 74HC 芯片的输 入使用时,推荐的方法是在 74LS 芯片的输出和十 5V电源之间接一个几千欧的 上拉电阻,但是由于对 74LS 芯片而言,一个 74HC 输入只是一个很小的负载,74LS 芯片的输出高电平一般在3.5V~4.5V 之间,因此在大多数的应用中,74LS 芯 片的输出也可以直接作为 74HC 芯片的输入。
差;分析设计电路的正确性。
1. 设计一个 2-4 译码器
功能表
输入
输出
E
A1
A2
Q0
Q1
Q2
Q3
1
Φ
Φ
1
1
1
1
0
0
0
1
1
1
0
1
1
0
1
1
0
1
0
1
1
0
1
1
1
1
1
1
0
E 为允许使能输入线,A1、A2 为译码器输入,Q0、Q1、Q2、Q3 分别为输
出。
2. 设计并实现一个 4 位二进制全加器 (1) 二进制全加器原理:对两个n位二进制改作加法运算的数字电路是由一 个半加器和(n-1)个全加器组成。它把两个n位二进制数作为输入信号。产 生一个(n+1)位二进制数作它的和。一个n位二进制加法器的方框图如图 2.1 所 示 。 图 中 A 和 B 是 用 来 相 加 的 两 n 位 输 入 信 号 , C n-1, S n-1, S n-2, ······
数字系统设计报告
数字电路与系统设计实验报告班级:学号:姓名:地点:批次:时间:一.实验目的通过基本门电路性能测试实验使学生掌握基本门电路的工作原理、门电路的外特性(IC门电路的引脚排列顺序,输入/输出电平要求等);通过计算机仿真技术使学生掌握组合逻辑电路的设计方法,掌握触发器功能及其波形关系,掌握时序电路的设计方法,培养学生的实践动手能力和实验技能。
实验内容实验一基本逻辑门电路实验基本逻辑门电路性能(参数)测试(一)实验目的1.掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。
2.熟悉TTL中、小规模集成电路的外型、管脚和使用方法。
(二)实验所用器件和仪表l.二输入四与非门74LS00 1片2.二输入四或非门74LS02 1片3.二输入四异或门74LS86 1片(三)实验内容1.测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。
2.测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。
3.测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。
(四)实验提示1.将被测器件插入实验台上的14芯插座中。
2.将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。
3.用实验台的电平开关输出作为被测器件的输入。
拨动开关,则改变器件的输入电平。
4.将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。
指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。
(五)实验接线图及实验结果74LS00中包含4个二输入与非门,7402中包含4个二输入或非门,7486中包含4个二输入异或门,它们的引脚分配图见附录。
下面各画出测试7400第一个逻辑门逻辑关系的接线图及测试结果。
测试其它逻辑门时的接线图与之类似。
测试时各器件的引脚7接地,引脚14接十5V。
图中的K1、K2接电平开关输出端,LED0是电平指示灯。
1、测试74LS00逻辑关系2、测试74LS28逻辑关系3、测试74LS86逻辑关系二 、 TTL 、HC 和HCT 器件的电压传输特性(一)、实验目的输 入输 出引脚1引脚3引脚2LED0LL L L H H HH图1.1 测试74LS00逻辑关系接线图表1.1 74LS00真值表输 入输 出引脚2引脚1引脚3K1K2LL L L H H HH图1.2 测试74LS28逻辑关系接线图表1.2 74LS28真值表输 入输 出引脚1引脚3引脚2K1K2123LED0LL L L H H HH图1.3 测试74LS86逻辑关系接线图表1.3 74LS86真值表1.掌握TTL、HCT和HC器件的传输特性。
数字电子课程设计报告
数字电子课程设计报告——多功能电子钟一、设计题目多功能数字电子钟的设计二、设计要求1.有“时”、“分”、“秒”(23小时59分59秒)显示且有校时功能。
(设计秒脉冲发生器);2、有整点报时功能。
(选: 上下午、日期、闹钟等)3.用中规模、小规模集成电路及模拟器件实现。
4.供电方式: 5V直流电源三、设计任务1.画出数字电子钟的电路图。
2.用EWB进行功能仿真。
3.撰写课程设计说明书四、设计总体框图和总电路图图1 多功能数字电子钟系统框图图2 总电路图五、设计方案及论证主电路是由TTL集成电路功能部件和单元电路构成。
本方案主要功能特点:1.实现“时”、“分”、“秒”(23小时59分59秒)显示且有校时功能;2.自行设计的用555定时器组成的多谐振荡器和分频器组合的秒脉冲发生器, 可以代替1Hz方波信号源是电路正常运作;3、有星期的显示功能, 以及时钟12/24进制的转换, 并能同步正常进位;4.实现整点报时功能。
1.振荡器的设计振荡器是数字电子钟的核心部件。
有以下两种方案:方案一: 选用石英晶体构成的振荡器电路石英晶体振荡器的精度较高, 由于振荡器的稳定度及频率的精确度决定了数字钟的及时的准确程度, 而且, 通常情况下, 震荡器的频率越高, 计数精度越高, 所以多数都采用石英晶体振荡器, 如:电子手表中的晶体振荡器电路。
方案二: 555定时器构成的振荡器555定时器构成的晶体振荡器的精度不比石英晶体振荡器, 不过考虑到555定时器在数字电子中有广泛的应用, 而且本设计中不要求很高的精度, 所以这里采用555定时器构成多谐振荡器, 设置振荡频率为1kHz 。
电路图如下:图3 555定时器接成的秒脉冲发生器555定时器频率计算公式:添加公式2ln )2(121C R R f += 周期 T=1/f 根据公式, 设置R1=R2=4.77M Ohm , C=0.1uf 则f 1Hz,T 1s2.分频器设计分频器的设计目的: 产生等间距的频率稳定的标准秒脉冲信号。
数字系统设计综合实验报告参考模板
数字系统设计综合实验报告实验名称:1、加法器设计2、编码器设计3、译码器设计4、数据选择器设计5、计数器设计6、累加器设计7、交通灯控制器设计班级:姓名:学号:指导老师:实验1 加法器设计1)实验目的(1)复习加法器的分类及工作原理。
(2)掌握用图形法设计半加器的方法。
(3)掌握用元件例化法设计全加器的方法。
(4)掌握用元件例化法设计多位加法器的方法。
(5)掌握用Verilog HDL语言设计多位加法器的方法。
(6)学习运用波形仿真验证程序的正确性。
(7)学习定时分析工具的使用方法。
2)实验原理加法器是能够实现二进制加法运算的电路,是构成计算机中算术运算电路的基本单元。
目前,在数字计算机中,无论加、减、乘、除法运算,都是化为若干步加法运算来完成的。
加法器可分为1位加法器和多位加法器两大类。
1位加法器有可分为半加器和全加器两种,多位加法器可分为串行进位加法器和超前进位加法器两种。
(1)半加器如果不考虑来自低位的进位而将两个1位二进制数相加,称半加。
实现半加运算的电路则称为半加器。
若设A和B是两个1位的加数,S 是两者相加的和,C是向高位的进位。
则由二进制加法运算规则可以得到。
(2)全加器在将两个1位二进制数相加时,除了最低位以外,每一位都应该考虑来自低位的进位,即将两个对应位的加数和来自低位的进位三个数相加,这种运算称全加。
实现全加运算的电路则称为全加器。
若设A、B、CI分别是两个1位的加数、来自低位的进位,S是相加的和,C是向高位的进位。
则由二进制加法运算规则可以得到:3)实验内容及步骤(1)用图形法设计半加器,仿真设计结果。
(2)用原件例化的方法设计全加器,仿真设计结果(3)用原件例化的方法设计一个4为二进制加法器,仿真设计结果,进行定时分析。
(4)用Verilog HDL语言设计一个4为二进制加法器,仿真设计结果,进行定时分析。
(5)分别下载用上述两种方法设计4为加法器,并进行在线测试。
4)设计1)用图形法设计的半加器,如下图1所示,由其生成的符号如图2所示。
电子系统设计报告
课程设计实践汇报一、课程设计旳性质、目旳与作用本次电子系统设计实践课程参照全国大学生电子设计模式, 规定学生综合运用所学旳有关知识, 在教师旳指导下, 分析和熟悉已给题目, 然后设计系统方案、画原理图及PCB.软件编程, 并做出课程设计汇报。
因此, 在设计中, 规定学生应当全面考虑各个设计环节以及它们之间旳互相联络, 在设计思绪上不框定和约束同学们旳思维, 同学们可以发挥自己旳发明性, 有所发挥, 并力争设计方案凝练可行、思绪独特、效果良好。
本课程设计旳目旳是为了让学生可以全面理解电子电路应用系统旳整个设计过程, 逐渐掌握系统开发旳如下有关技术:(1)熟悉系统设计概念;(2)运用所学数电、模拟电路知识, 设计电路图;(3)运用PROTEL软件画原理图及PCB;(4)熟悉系统项目设计汇报填写知识;(5)培养团体合作意识。
通过本课程设计, 有助于学生更好地理解整个课程旳知识体系, 锻炼学生实际设计能力、分析和思索能力, 使其理论与实践相结合, 从而为后续课程旳学习、毕业设计环节以及未来旳实际工作打好坚实旳基础。
二、课程设计旳详细内容电子系统设计实践课程就是锻炼学生系统设计、分析和思索能力, 全面运用课程所学知识, 发挥自己旳发明性, 全面提高系统及电路设计、原理图及PCB绘画等硬件水平和实际应用能力, 从而体现出电子系统设计旳真谛。
下面是各个设计阶段旳详细内容。
1. 系统方案认识根据所设定旳题目, 可以给出系统设计方案与思绪题目:信号发生器产生电路, 请设计一种能产生正弦波、方波及三角波电路, 并制作原理图, 然后论述其原理。
基本原理:系统框图如图1所示。
图1 低频信号发生器系统框图低频信号发生器系统重要由CPU、D/A转换电路、基准电压电路、电流/电压转换电路、按键和波形指示电路、电源等电路构成。
其工作原理为当分别按下四个按键中旳任一种按键就会分别出现方波、锯齿波、三角波、正弦波, 并且有四个发光二极管分别作为不一样旳波形指示灯。
数字电子系统设计报告模板
宁波工程学院数字电子系统设计报告设计题目:学院名称:电子与信息工程学院专业班级:电科12-X学生姓名:XXX 学号:13401090XXX 指导教师:苏树兵起讫时间:2016年06月20日至2016年06月29日目录第一章设计任务1.1 基本要求1.2 发挥部分第二章整体方案设计2.1 基本原理及整体系统框图2.2 算法设计第三章硬件电路设计(按模块)3.1 XX电路设计(有几个写几个)3.2 整体电路图3.3 整机元件清单第四章系统软件设计4.1 主程序流程图4.2 子程序流程图(有几个写几个)第五章系统测试与结果分析5.1 XX电路的调测5.2 整体指标测试(有数据的需要附上)5.3 结果分析第六章设计小结6.1 设计任务完成情况6.2 问题及改进6.3心得体会第七章任务分配及自评分附录1 系统程序附录2 实物图参考文献第一章技术指标1.整体功能要求频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。
其扩展功能可以测量信号的周期和脉冲宽度。
2.系统结构要求数字频率计的整体结构要求如图所示。
图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。
数字频率计整体方案结构方框图3.电气指标3.1被测信号波形:正弦波、三角波和矩形波。
3.2 测量频率范围:分三档:1Hz~999Hz0.01kHz~9.99kHz0.1kHz~99.9kHz3.3 测量周期范围:1ms~1s。
3.4 测量脉宽范围:1ms~1s。
3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误差)。
3.6当被测信号的频率超出测量范围时,报警.4.扩展指标要求测量频率值时,1Hz~99.9kHz的精度均为+1。
5.设计条件5.1 电源条件:+5V。
5.2 可供选择的元器件范围如下表门电路、阻容件、发光二极管和转换开关等原件自定。
电子系统设计报告
电子系统设计报告设计题目:基于单片机的简易电压表设计指导老师://///////专业班级://///////报告人姓名://///////// (签名) 学号://////////信息工程学院通信工程教研室摘要数字电压表简称DVM,它是采用了数字化测量技术,把连续模拟量(直流输入电压)转换成不连续,离散的数字形式加以现实的仪表。
传统的指针是电压表功能单一,精度低,不能满足数字化时代的需求,采用单片机的数字电压表,由精度高,抗干扰能力强,可扩展性强,集成方便,不可与PC进行实时通信。
目前由各种单片机A/D转换器构成的数字电压表,已被广泛的应用为电子及其电工的测量,工业自动化仪表,自动测试系统等智能化测量领域,显示出强大的生命力。
数字电压表是诸多数字化仪表的核心与基础,电压表的数字化是将连续的模拟量如直流电压转换成不连续的离散的数字形式,并加以显示,这有别于传统的指针加刻度盘进行读数的方法,避免了读数的视差和视觉的疲劳,目前数字电压表的核心部件是A/D转换器,转换器的精度很大程度上影响着数字电压表的准确度。
本设计主要分为两部分:软件仿真原理图及软件程序。
而软件仿真又大体可分为单片机小系统电路、A/D转换电路、LCD显示电路,各部分电路的设计及原理将会在软件仿真设计部分详细介绍;程序的设计使用C语言编程,利用keil软件对其编译,详细的设计算法将会在程序设计部分详细介绍。
关键字:数字电压表转换A/D转换器目录第一章绪论 (3)第二章设计准备知识 (3)2.1设计目的 (3)2.2设计要求或内容 (3)2.3设计软件及材料 (3)2.3.1单片机软件开发工具keil介绍 (3)2.3.2仿真软件protues介绍 (4)2.3.3ADC0804 介绍 (4)2.3.4液晶显示器 (4)第三章整体设计过程 (4)3.1设计思路 (4)3.2模块分析 (5)3.2.1AT89C51单片机 (5)3.2.2A/D转换 (6)3.2.3显示电路 (6)3.3程序设计 (7)3.3.1程序设计总方案 (7)3.3.2系统子程序设计 (7)3.4软件调试 (8)第四章显示结果及误差分析 (8)4.1 显示结果 (8)4.2误差分析 (10)第五章出现的问题及解决 (10)5.1问题 (10)5.2改进 (11)第六章设计总结 (11)第七章附件:(程序) (12)7.1主程序 (12)7.2SMC1602 (13)7.3AD转换程序 (16)第一章绪论在电量的测量中,电压、电流和频率是最基本的三个被测量,其中电压量的测量最为经常。
电子系统设计总结报告 (1)
电子系统设计总结报告题目:对讲机班级:电气班组别:第二组指导教师:设计时间:对讲机一、引言1、选题意义有线对讲机在日常生活中应用广泛。
有线对讲机原理简单,设计方便,制作简易,成本低,对于初次进行实验设计的我们来说实验成功率高。
而且,有线对讲机广泛应用于医院病员呼叫机、门铃、室内电话等,具有应用范围广,实用性强的特点,所以有线对讲机日益成为生活中不可缺少的部分。
并且,应用我们所学的模电与数点知识便可实现该对讲机的制作,可行性强。
2、设计目标这次实验,我们小组的目标是依据所设计的电路图,进行正确焊接与调试,最终得到在2米内,能进行清晰对讲的“半双工对讲机”,即在同一时刻,一方讲话,另一方在距离其2千米处可以清晰听到其所讲内容,并通过调节转换开关,来进行听与说的角色的相互转换。
3、小组成员分工小组成员具体分工见下表1表1 小组成员及分工情况小组构成学号姓名分工组长201009340 实验报告,焊接调试成员201009302 实验原理说明,电路图绘制201009329 拟定印刷电路板图及布线,作品说明201009330 主要原器件说明,模拟仿真201009331 电路图绘制,焊接调试201009333 实验报告,焊接调试201009336 功能设计,实验原理说明201009338 主要原器件说明,拟定印刷板图及布线201009342 模拟仿真,焊接调试二、作品说明1、功能对讲机可用于室内电话、医院病员呼叫机、门铃等,可用2千米内进行对讲。
本次实验制作成的对讲机为“半双工式对讲机”,即在相同时刻,主机与从机之间只有一个可以讲,而在此时刻,另一个只能听,通过一个双刀双掷开关控制讲话与听话的相互转换。
2、操作说明操作时,按下电源开关,将控制转换的双刀双掷开关打到一侧,可以完成主机讲话,从机收听主机发送的声音信号;将控制开关打到另一侧,则可以完成从机讲话,主机接收由从机发送的声音信号。
通过双刀双掷开关的转换完成主机与从机之间的交流与信息转换。
电子系统设计报告格式
内容部分要求:
1 设计任务与要求
1.1 基本要求
该系统能够对室内环境的温度、湿度、亮度、二氧化 碳的浓度等进行检测和控制。
1.2 技术指标 (1)测量精度:误差小于+5%; (2)能用十进制显示当前测量值; 1.3扩展部分 具有有线或无线通信能力;实现主从控制及多点检测;
2 设计分析及方案论证
2.1 设计分析
(1)根据设计要求,进行总体功能实现的硬 件设计和软件配合的简单分析。 (2)给出系统设计的总体方框图。 2.2 设计方案论证 (1)根据分析,列出2种以上的设计方案,比 较后确定。
பைடு நூலகம்
(2)给出所选方案的总体方框图。
3 系统硬件设计
3.1 根据总体方案框图进行每部分的硬件电路设计和
结论
根据理论分析和调试数据结果,写出该系统设计
的整体功能实现和性能分析。
设计用到的元器件:
传感器、单片机、显示器件、执行器件、
转换电路、接口电路、电源电路等
选型,给出详细每部分硬件电路图。 (注意:画图时的端口标识) 3.2 根据每部分的电路图,给出系统总硬件电路图。
4 系统软件设计
根据系统设计方案,给出软件流程图及相应程序语句。
5 系统制版及调试
5.1 系统制版
(1)画出系统硬件PCB;
(2)做成硬件电路;
5.2 系统调试 (1)分为硬件调试和 软件调试两部分; (2)写出调试步骤和结果;
数字电子课程设计报告
数字系统课程设计报告——十路智力竞赛抢答器前言进入21世纪越来越来多的电子产品出现在人们的日常生活中,例如企业、学校和电视台等单位常举办各种智力竞赛, 抢答记分器是必要设备。
过去在举行的各种竞赛中我们经常看到有抢答的环节,举办方多数采用让选手通过举答题板的方法判断选手的答题权,这在某种程度上会因为主持人的主观误断造成比赛的不公平性。
人们于是开始寻求一种能不依人的主观意愿来判断的设备来规范比赛。
因此,为了克服这种现象的惯性发生人们利用各种资源和条件设计出很多的抢答器,从最初的简单抢答按钮,到后来的显示选手号的抢答器,再到现在的数显抢答器,其功能在一天的趋于完善不但可以用来倒计时抢答,还兼具报警,计分显示等等功能,有了这些更准确地仪器使得我们的竞赛变得更加精彩纷呈,也使比赛更突显其公平公正的原则。
如今在市场上销售的抢答器大多采用可编程逻辑元器件,或利用单片机技术进行设计,而本次设计主要利用常见的74LS系列集成电路芯片和555芯片,并通过划分功能模块进行各个部分的设计,最后完成了十路智力竞赛抢答器的设计。
一、设计方案选取与论证方案1:采用数字系统设计采用74LS175用做按键的锁存,通过NE555和电容、电阻组成多谐振荡产生时钟脉冲信号,74LS192可逆计数器对时钟信号进行计数从而实现倒计时。
该方案整个过程包括在Proteus 上进行原理性仿真设计,在通过DXP2004绘制电路图,腐蚀,打孔,最终在PCB板上焊接,制作时间长,容易焊接过程容易出现短路断路等问题,而且需要大量的芯片。
方案2:采用VHDL语言设计的FPGA实现本学期我们刚好开设了EDA这门课程,所以,了解到本设计可以在QuartusII环境下用VHDL 进行设计输入、综合和仿真,最终在CycloneII芯片EP2C5T144C8上硬件实现。
其开发周期短,省去了制版的过程,发现错误时可以及时修正,不仅将所学知识学以致用,并且在以后还可以对成品进行升级完善其功能。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
宁波工程学院数字电子系统设计报告设计题目:学院名称:电子与信息工程学院专业班级:电科12-X学生姓名:XXX 学号:13401090XXX 指导教师:苏树兵起讫时间:2016年06月20日至2016年06月29日目录第一章设计任务1.1 基本要求1.2 发挥部分第二章整体方案设计2.1 基本原理及整体系统框图2.2 算法设计第三章硬件电路设计(按模块)3.1 XX电路设计(有几个写几个)3.2 整体电路图3.3 整机元件清单第四章系统软件设计4.1 主程序流程图4.2 子程序流程图(有几个写几个)第五章系统测试与结果分析5.1 XX电路的调测5.2 整体指标测试(有数据的需要附上)5.3 结果分析第六章设计小结6.1 设计任务完成情况6.2 问题及改进6.3心得体会第七章任务分配及自评分附录1 系统程序附录2 实物图参考文献第一章技术指标1.整体功能要求频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。
其扩展功能可以测量信号的周期和脉冲宽度。
2.系统结构要求数字频率计的整体结构要求如图所示。
图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。
数字频率计整体方案结构方框图3.电气指标3.1被测信号波形:正弦波、三角波和矩形波。
3.2 测量频率范围:分三档:1Hz~999Hz0.01kHz~9.99kHz0.1kHz~99.9kHz3.3 测量周期范围:1ms~1s。
3.4 测量脉宽范围:1ms~1s。
3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误差)。
3.6当被测信号的频率超出测量范围时,报警.4.扩展指标要求测量频率值时,1Hz~99.9kHz的精度均为+1。
5.设计条件5.1 电源条件:+5V。
5.2 可供选择的元器件范围如下表门电路、阻容件、发光二极管和转换开关等原件自定。
第二章 整体方案设计2.1 算法设计频率是周期信号每秒钟内所含的周期数值。
可根据这一定义采用如图2-1所示的算法。
图2-2是根据算法构建的方框图。
被测信号图2-2 频率测量算法对应的方框图在测试电路中设置一个闸门产生电路,用于产生脉冲宽度为1s 的闸门信号。
改闸门信号控制闸门电路的导通与开断。
让被测信号送入闸门电路,当1s 闸门脉冲到来时闸门导通,被测信号通过闸门并到达后面的计数电路(计数电路用以计算被测输入信号的周期数),当1s 闸门结束时,闸门再次关闭,此时计数器记录的周期个数为1s 内被测信号的周期个数,即为被测信号的频率。
测量频率的误差与闸门信号的精度直接相关,因此,为保证在1s 内被测信号的周期量误差在10 ³量级,则要求闸门信号的精度为10 ⁴量级。
例如,当被测信号为1kHz 时,在1s 的闸门脉冲期间计数器将计数1000次,由于闸门脉冲精度为10 ⁴,闸门信号的误差不大于0.1s ,固由此造成的计数误差不输入电路 闸门 计数电路显示电路闸门产生会超过1,符合5*10 ³的误差要求。
进一步分析可知,当被测信号频率增高时,在闸门脉冲精度不变的情况下,计数器误差的绝对值会增大,但是相对误差仍在5*10 ³范围内。
但是这一算法在被测信号频率很低时便呈现出严重的缺点,例如,当被测信号为0.5Hz时其周期是2s,这时闸门脉冲仍未1s显然是不行的,故应加宽闸门脉冲宽度。
假设闸门脉冲宽度加至10s,则闸门导通期间可以计数5次,由于数值5是10s的计数结果,故在显示之间必须将计数值除以10.2.2 整体方框图及原理输入电路:由于输入的信号可以是正弦波,三角波。
而后面的闸门或计数电路要求被测信号为矩形波,所以需要设计一个整形电路则在测量的时候,首先通过整形电路将正弦波或者三角波转化成矩形波。
在整形之前由于不清楚被测信号的强弱的情况。
所以在通过整形之前通过放大衰减处理。
当输入信号电压幅度较大时,通过输入衰减电路将电压幅度降低。
当输入信号电压幅度较小时,前级输入衰减为零时若不能驱动后面的整形电路,则调节输入放大的增益,时被测信号得以放大。
频率测量:测量频率的原理框图如图2-3.测量频率共有3个档位。
被测信号经整形后变为脉冲信号(矩形波或者方波),送入闸门电路,等待时基信号的到来。
时基信号有555定时器构成一个较稳定的多谐振荡器,经整形分频后,产生一个标准的时基信号,作为闸门开通的基准时间。
被测信号通过闸门,作为计数器的时钟信号,计数器即开始记录时钟的个数,这样就达到了测量频率的目的。
周期测量:测量周期的原理框图2-4.测量周期的方法与测量频率的方法相反,即将被测信号经整形、二分频电路后转变为方波信号。
方波信号中的脉冲宽度恰好为被测信号的1个周期。
将方波的脉宽作为闸门导通的时间,在闸门导通的时间里,计数器记录标准时基信号通过闸门的重复周期个数。
计数器累计的结果可以换算出被测信号的周期。
用时间Tx来表示:Tx=NTs 式中:Tx为被测信号的周期;N为计数器脉冲计数值;Ts为时基信号周期。
时基电路:时基信号由555定时器、RC组容件构成多谐振荡器,其两个暂态时间分别为T1=0.7(Ra+Rb)C T2=0.7RbC重复周期为T=T1+T2 。
由于被测信号范围为1Hz~1MHz,如果只采用一种闸门脉冲信号,则只能是10s脉冲宽度的闸门信号,若被测信号为较高频率,计数电路的位数要很多,而且测量时间过长会给用户带来不便,所以可将频率范围设为几档:1Hz~999Hz档采用1s闸门脉宽;0.01kHz~9.99kHz档采用0.1s闸门脉宽;0.1kHz~99.9kHz档采用0.01s闸门脉宽。
多谐振荡器经二级10分频电路后,可提取因档位变化所需的闸门时间1ms、0.1ms、0.01ms。
闸门时间要求非常准确,它直接影响到测量精度,在要求高精度、高稳定度的场合,通常用晶体振荡器作为标准时基信号。
在实验中我们采用的就是前一种方案。
在电路中引进电位器来调节振荡器产生的频率。
使得能够产生1kHz的信号。
这对后面的测量精度起到决定性的作用。
计数显示电路:在闸门电路导通的情况下,开始计数被测信号中有多少个上升沿。
在计数的时候数码管不显示数字。
当计数完成后,此时要使数码管显示计数完成后的数字。
控制电路:控制电路里面要产生计数清零信号和锁存控制信号。
控制电路工作波形的示意图如图2-5.第三章单元电路设计3.1 时基电路设计图3-1 时基电路与分频电路它由两部分组成:如图3-1所示,第一部分为555定时器组成的振荡器(即脉冲产生电路),要求其产生1000Hz的脉冲.振荡器的频率计算公式为:f=1.43/((R1+2*R2)*C),因此,我们可以计算出各个参数通过计算确定了R1取430欧姆,R3取500欧姆,电容取1uF.这样我们得到了比较稳定的脉冲。
在R1和R3之间接了一个10K的电位器便于在后面调节使得555能够产生非常接近1KHz的频率。
第二部分为分频电路,主要由4518组成(4518的管脚图,功能表及波形图详见附录),因为振荡器产生的是1000Hz的脉冲,也就是其周期是0.001s,而时基信号要求为0.01s、0.1s和1s。
4518为双BCD加计数器,由两个相同的同步4级计数器构成,计数器级为D型触发器,具有内部可交换CP和EN线,用于在时钟上升沿或下降沿加计数,在单个运算中,EN输入保持高电平,且在CP上升沿进位,CR线为高电平时清零。
计数器在脉动模式可级联,通过将Q³连接至下一计数器的EN输入端可实现级联,同时后者的CP输入保持低电平。
如图3-2所示,555产生的1kHz的信号经过三次分频后得到3个频率分别为100Hz、10Hz和1Hz的方波。
图3-2 1kHz的方波分频后波形图3.2闸门电路设计如图3-3所示,通过74151数据选择器来选择所要的10分频、100分频和1000分频。
74151的CBA接拨盘开关来对选频进行控制。
当CBA输入001时74151输出的方波的频率是1Hz;当CBA输入010时74151输出的方波的频率是10Hz;当CBA输入011时74151输出的方波的频率是100Hz;这里我们以输出100Hz 的信号为例。
分析其通过4017后出现的波形图(4017的管脚图、功能表和波形图详见附录)。
4017是5位计数器,具有10个译码输出端,CP,CR,INH输入端,时钟输入端的施密特触发器具有脉冲整形功能,对输入时钟脉冲上升和下降时间无限制,INH为低电平时,计数器清零。
100Hz的方波作为4017的CP端,如图3-3,信号通过4017后,从Q1输出的信号高电平的脉宽刚好为100Hz信号的一个周期,相当于将原信号二分频。
也就是Q1的输出信号高电平持续的时间为10ms,那么这个信号可以用来导通闸门和关闭闸门。
图3-3 闸门电路图3-43.3控制电路设计通过分析我们知道控制电路这部分是本实验的最为关键和难搞的模块。
其中控制模块里面又有几个小的模块,通过控制选择所要测量的东西。
比如频率,周期,脉宽。
同时控制电路还要产生74160的清零信号,4511的锁存信号。
控制电路。
计数电路和译码显示电路详细的电路如图3-5所示。
当74153的CBA 接001、010、011的时候电路实现的是测量被测信号频率的功能。
当74153的CBA接100的时候实现的是测量被测信号周期的功能。
当74153的CBA接101的时候实现的是测量被测信号脉宽的功能。
图3-6是测试被测信号频率时的计数器CP信号波形、PT端输入波形、CLR段清零信号波形、4511锁存端波形图。
其中第一个波形是被测信号的波形图、第二个是PT端输入信号的波形图、第三个是计数器的清零信号。
第四个是锁存信号。
PT是高电平的时候计数器开始工作。
CLR为低电平的时候,计数器清零。
根据图得知在计数之前对计数器进行了清零。
根据4511(4511的管脚图和功能表详见附录)的功能表可以知道,当锁存信号为高电平的时候,4511不送数。
如果不让4511锁存的话,那么计数器输出的信号一直往数码管里送。
由于在计数,那么数码管上面一直显示数字,由于频率大,那么会发现数字一直在闪动。
那么通过锁存信号可以实现计数的时候让数码管不显示,计完数后,让数码管显示计数器计到的数字的功能。
根据图可以看到,当PT到达下降沿的时候,此时4511的LE端的输入信号也刚好到达下降沿。
图3-6 计数器CP信号波形、PT端输入波形、CLR段清零信号波形、4511锁存端波形图图3-6,是测量被测信号频率是1.1KHz的频率的图。
由于multsisim软件篇幅的关系。
时基电路产生的信号直接用信号发生器来代替。
图中电路1K的信号经过分频后选择的是100Hz的信号为基准信号。