测控08-1EDA实验讲义(1)
EDA技术实验讲义
E D A/S O P C技术实验讲义陕西科技大学电气与信息工程学院目录4第一章 EDA_VHDL实验/设计与电子设计竞赛4 1-1、应用QuartusII完成基本组合电路设计5 1-2. 应用QuartusII完成基本时序电路的设计6 1-3. 设计含异步清0和同步时钟使能的加法计数器7 1-4. 7段数码显示译码器设计8 1-5. 8位数码扫描显示电路设计9 1-6. 数控分频器的设计10 1-7. 32位并进/并出移位寄存器设计10 1-8. 在QuartusII中用原理图输入法设计8位全加器11 1-9. 在QuartusII中用原理图输入法设计较复杂数字系统11 1-10. 用QuartusII设计正弦信号发生器13 1-11. 8位16进制频率计设计16 1-12. 序列检测器设计16 1-13. VHDL状态机A/D采样控制电路实现18 1-14. 数据采集电路和简易存储示波器设计19 1-15. 比较器和D/A器件实现A/D转换功能的电路设计20 1-16 移位相加硬件乘法器设计24 1-17 采用流水线技术设计高速数字相关器24 1-18 线性反馈移位寄存器设计25 1-19 乐曲硬件演奏电路设计28 1-20 乒乓球游戏电路设计32 1-21 循环冗余校验(CRC)模块设计33 1-22. FPGA步进电机细分驱动控制设计(电子设计竞赛赛题)34 1-23. FPGA直流电机PWM控制实验35 1-24. VGA彩条信号显示控制器设计37 1-25. VGA图像显示控制器设计37 1-26. 清华大学学生基于GW48PK2系统VGA图像显示控制器设计示例5则38 1-27. 直接数字式频率合成器(DDS)设计实验(电子设计竞赛赛题)39 1-28. 嵌入式锁相环PLL应用实验41 1-29. 使用嵌入式锁相环的DDS设计实验(200MHz超高速DAC的PLL测试42 1-30. 基于DDS的数字移相信号发生器设计(电子设计竞赛赛题)45 1-31. 采用超高速A/D的存储示波器设计(含PLL,电子设计竞赛赛题)46 1-32. 信号采集与频谱分析电路设计(电子设计竞赛赛题)46 1-33. 等精度数字频率/相位测试仪设计实验(电子设计竞赛赛题)48 1-34. FPGA与单片机联合开发之isp单片机编程方法49 1-35. 测相仪设计(电子设计竞赛赛题)50 1-36. PS/2键盘鼠标控制电子琴模块设计50 1-37. PS/2鼠标与VGA控制显示游戏模块设计50 1-38. FPGA_单片机_PC机双向通信测频模块设计50 1-39. 10路逻辑分析仪设计(电子设计竞赛赛题)51 1-40. IP核:数控振荡器NCO应用设计52 1-41. IP核:FIR数字滤波器应用设计53 1-42. IP核:FFT应用设计53 1-43. IP核:CSC VGA至电视色制互转模块应用设计54 1-44. IP核:嵌入式逻辑分析仪SignalTapII调用55 1-45. USB与FPGA通信实验56第二章 SOPC/EDA设计实验I56 2-1 用逻辑锁定优化技术设计流水线乘法器实验57 2-2 用逻辑锁定优化技术设计16阶数字滤波器实验59 2-3 基于DSP Builder的FIR数字滤波器设计实验60 2-4 基于DSP Builder的IIR数字滤波器设计实验60 2-5 基于DSP Builder的DDS与数字移相信号发生器设计实验62 2-6 m序列伪随机序列发生器设计实验63 2-7 巴克码检出器设计实验65 2-8 RS码编码器设计实验65 2-9 正交幅度调制与解调模型设计实验67 第三章 SOPC/EDA设计实验II67 3-1 基于MATLAB/DSP Builder DSP可控正弦信号发生器设计72 3-2 32位软核嵌入式处理器系统Nios开发实验73 3-3 设计一个简单的SOPC系统74 3-4 简单测控系统串口接收程序设计74 3-5 GSM短信模块程序设计75 3-6 基于SOPC的秒表程序设计77 3-7 Nios Avalon Slave外设(PWM模块)设计78 3-8 Nios Avalon Slave外设(数码管动态扫描显示模块)设计79 3-15 DMA应用和俄罗斯方块游戏设计79第四章 SOPC/EDA设计实验III ( NiosII系统设计 ) 79 4-1、建立NIOSII嵌入式处理器硬件系统87 4-2、NIOSII软件设计与运行流程94 4-3、加入用户自定义组件设计100 4-4、加入用户自定义指令设计103 4-5、FLASH编程下载104 4-6、设计DSP处理器功能系统104 4-7、AM调制电路设计105第五章液晶接口实验105 5-1 GDM12864A液晶显示模块接口开发111 5-2 HS162-4液晶显示模块与单片机的接口114 5-3 G240-128A液晶显示模块的接口115第六章 CPU及其结构组件设计实验115 6-1 复杂指令CPU设计122 6-2 8051/89C51单片机核于FPGA中实现实验124第七章模拟EDA实验124 7-1 模拟EDA实验及其设计软件使用向导(PAC _Designer使用)124 7-2 基于ispPAC80的5阶精密低通滤波器设计126 7-3 基于ispPAC10的直流增益为9的放大器设计129附录:GW48 EDA/SOPC主系统使用说明129 第一节:GW48教学系统原理与使用介绍,132 第二节:实验电路结构图137 第三节:超高速A/D、D/A板GW-ADDA说明138 第四节:步进电机和直流电机使用说明138 第五节:SOPC适配板使用说明139 第六节:GWDVPB电子设计竞赛应用板使用说明141 第七节:GWCK/PK2/PK3系统万能接插口与结构图信号/与芯片引脚对照表第一章EDA_VHDL实验/设计与电子设计竞赛1-1. 应用QuartusII完成基本组合电路设计(1) 实验目的:熟悉QuartusⅡ的VHDL文本设计流程全过程,学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。
EDA实验讲义
实验一 带进位输入的8位加法器一、实验目的设计并实现一个8位全加器。
二、实验原理在实验三中介绍了一个一位的全加器的例子,在这里将设计一个8 位的全加器,其框图如图1-1所示。
图中的“进位入”C i-1指的是低位的进位输出,“进位出”C i 即是本位的进位输出。
图 1-1 8位全加器原理图三、实验要求全加器的17个输入所对应的管脚与17位拨码开关相连,17个输入管脚是Ai0~Ai7、Bi0~Bi7和C i-1,Ai0~Ai7、Bi0~Bi7代表两个8位二进制数,C i-1代表进位入;9个输出所对应的管脚与9位发光二极管相连,9个输出管脚是Si0~Si7和C i ,Si0~Si7代表相加结果,C i 代表进位位。
实验工程项目命名为add ,源程序命名为add_8.bdf 。
四、实验记录五、实验报告要求1、详细叙述设计过程与实验步骤;2、分析实验记录的正确性。
(被加数)(被加数)(进位入)C i-1(全加和)Si (7..0)(进位出)Ci实验二多路数据选择器一、实验目的设计并实现一个16选1多路数据选择器。
二、实验原理在VHDL语言中描述一个2选一多路选择器的方法有多种,推荐使用when else构造,这样在VHDL代码中只用1行就可以描述2选1多路选择器。
例如:library ieee;use ieee.std_logic_1164.all;entity mux2 isport(a,b,sel: in std_logic;q: out std_logic);end;architecture a of mux2 isbeginq <= a when sel=’0’ else b;end;但我们在描述一个16选1的多路选择器时,若采用同样的方法,则就需要许多行VHDL代码,此时我们可以在进程中使用case语句会很清晰,不论使用哪一种描述方法,得到的结果应该是相同的。
16选1多路选择器结构图如图2-1所示。
电子电路EDA实验(1)
2023/12/28
电子电路EDA实验(1)
电子电路EDA实验
二、实验设备 微型计算机
EDA实验箱 ZYE1502C
电子电路EDA实验(1)
实验一 原理图输入设计组合逻辑电路
一、实验目的 通过简单组合逻辑电路的设计,初步了解CPLD设计
的全过程和相关EDA软件 MAX+plusII的使用。掌握原理 图输入的设计方法和流程,学会对实验开发系统中的 CPLD的编程下载、硬件测试。
实现与门、或门、与非门、或非门、异或门及反相器的 基本门电路。要求输入端口为A、B 。输出端口为YAND YOR、YNAND、YNOR、YX件测试。
电子电路EDA实验(1)
实验四 扫描显示电路的驱动
一、实验目的 1. 了解八位七段LED数码管扫描显示的原理。 2. 学习同时使用文本输入和原理图输入设计数字电
VHDL设计,即完成文本输入、编译、仿真。
电子电路EDA实验(1)
3rew
演讲完毕,谢谢听讲!
再见,see you again
2023/12/28
电子电路EDA实验(1)
3. 实验连线:5个输入端D1、D2、D3、D4 、D5所锁 定的CPLD管脚接5个拨位开关, 2个输出端信号管脚接相 颜色的LED灯。
电子电路EDA实验(1)
实验二 原理图输入设计时序逻辑电路
一、实验目的 通过一个四位异步二进制加法计数器的设计,掌握
CPLD设计的全过程和相关EDA软件MAX+plusII的使用。 掌握层次化设计的方法。
电子电路EDA实验(1)
实验四 扫描显示电路的驱动
3. 实验连线 清零信号RESET所锁定的管脚接按键开关。 时钟CLK接时钟源(F=1Hz左右)。 地址信号SEL2、SEL1、SEL0锁定的管脚同P37处的 连接线孔SEL2、SEL1、SEL0相连。 代表7段码驱动信写A、B、C、D、E、F、G锁定 的管脚同PCLK处的连接线孔A、B、C、D、E、F、G相 连。
EDA实验报告1
实验1 数码管扫描显示电路实验设计方案1.原理说明单个数码管有8位共用段信号和1位位选信号。
多个数码管显示可以采用动态扫描方式,只要扫描频率足够大,人眼观察到多个数码管均发光,且每个数码管显示值互相不干扰。
复杂的数字系统设计多采用模块化层次设计:自上而下或自下而上的方法。
采用混合模式的工程设计方法是指由设计者完成功能划分后的各层子模块设计可以采用不同设计方法完成,如原理图、硬件描述语言文本、状态机等。
本实验基于混合模式的工程设计流程,电路图由模4计数器、3选1多路选择器、2-3译码电路以及七段译码器组成,动态扫描显示3个数码管的数据。
2.结构框图实验波形仿真操作说明:设置clk为一个周期函数,其周期为100ns,设置din为十进制,din[0]为1,din[1]为2,din[2]为3,然后仿真波形,看输出的七段管qa、qb、qc、qd、qe、qf、qg的波形,通过下载到实验箱可以验证波形的正确性。
实验日志①实验感想:通过这次实验,我了解了Quartus2的平台和基本操作,对于这门课的实验,在课前应该自己先预习和做一部分,以免到课上做不完。
虽然指导书讲的比较仔细,在课上做完实验后,还应对实验原理有一定的理解,不应为了做实验而做实验。
②思考与练习:1.举例说明一个Quartus最小工程所必须维护哪些类型文件?答:编译必需的文件:设计文件(.gdf .bdf .EDIF .tdf .v .vqm .vt .vhd .vht)、存储器初始化文件(.mif .rif .hex)、配置文件(.qsf .tcl)、工程文件(.qpf);编译结束后生成的报告文件(.rpt .qsmg等);编程文件(.sof .pof .ttf等)。
2.图形输入法与硬件描述语言法优缺点是什么?答:图形输入法:(优点)能直接的用元件连接电路图,容易理解和思考,直观,连线简辑方便。
(缺点)连线容易出错,器件容易搞混。
硬件描述语言:(优点)逻辑性强,对实现电路器件和连线较多的逻辑电路较方便(缺点)设计代码需要较强的逻辑能力,消耗较多时间用于思考。
eda实验报告完整版
EDA实验报告焦中毅201300121069实验1 4选1数据选择器的设计一、实验目的1.学习EDA软件的基本操作。
2.学习使用原理图进行设计输入。
3.初步掌握器件设计输入、编译、仿真和编程的过程。
4.学习实验开发系统的使用方法。
二、实验仪器与器材1.EDA开发软件一套2.微机一台3.实验开发系统一台4.打印机一台三、实验说明本实验通过使用基本门电路完成4选1数据选择器的设计,初步掌握EDA设计方法中的设计输入、编译、综合、仿真和编程的过程。
实验结果可通过实验开发系统验证,在实验开发系统上选择高、低电平开关作为输入,选择发光二极管显示输出电平值。
本实验使用Quartus II 软件作为设计工具,要求熟悉Quartus II 软件的使用环境和基本操作,如设计输入、编译和适配的过程等。
实验中的设计文件要求用原理图方法输入,实验时,注意原理图编辑器的使用方法。
例如,元件、连线、网络名的放置方法和放大、缩小、存盘、退出等命令的使用。
学会管脚锁定以及编程下载的方法等。
四、实验要求1.完成4选1数据选择器的原理图输入并进行编译;2.对设计的电路进行仿真验证;3.编程下载并在实验开发系统上验证设计结果。
五、实验结果4选1数据选择器的原理图:仿真波形图:管脚分配:实验2 四位比较器一、实验目的1.设计四位二进制码比较器,并在实验开发系统上验证。
2.学习层次化设计方法。
二、实验仪器与器材1.EDA 开发软件 一套 2.微机 一台 3.实验开发系统 一台 4.打印机 一台 5.其它器件与材料 若干 三、实验说明本实验实现两个4位二进制码的比较器,输入为两个4位二进制码0123A A A A 和0123B B B B ,输出为M (A=B ),G (A>B )和L (A<B )(如图所示)。
用高低电平开关作为输入,发光二极管作为输出,具体管脚安排可根据试验系统的实际情况自行定义。
四、实验要求1.用硬件描述语言编写四位二进制码 比较器的源文件; 2.对设计进行仿真验证; 3.编程下载并在实验开发系统上进行 硬件验证。
第一章EDA技术实验基础实验
第一章 技术实验基础实验实验一 用原理图输入法设计一位半加器一、实验目的.熟悉利用Ⅱ的原理图输入方法设计简单组合电路;.通过一个半加器的设计把握利用软件进行电子线路设计的详细流程;.学会对实验板上的进行编程下载,硬件验证自己的设计项目。
二、实验设备及器材配置机一台综合实验开发系统中:基本核心板模块、发光管显示模块、普通键盘模块、下载器、下载线、十针连接线根。
三、实验原理.根据真值表表写出电路的逻辑表达式表 一位半加器真值表其中, 为输入端口,与分别为半加器的和与进位。
其逻辑表达式为:o s a b =⊕ab Co =.根据逻辑表达式进行原理图设计。
注意:在进行原理图设计时,元件之间的连线应尽量避免与元件外的虚线框重合。
四、实验步骤:.为本项工程设计建立文件夹,注意文件夹名不能用中文,且不可带空格。
.根据半价器逻辑表达式进行原理图设计。
.对所设计的工程文件进行编译,排查错误。
.时序仿真,记录时序分析表。
.选择目标芯片。
.引脚锁定。
推荐锁定形式:输入接口选择核心板上接口,与普通键盘模块相连,连接后,可任意选择按键所对应的引脚(例如,选择和,它们所对应的引脚编号为和;输出接口选择核心板上接口,与发光管显示模块相连,连接后,课任意选择发光二级管所对应的引脚(例如选择和,它们所对应的引脚编号为和)。
注:输入输出接口可在核心板上十针接口中任意选择(白色接口除外),对应的引脚可在核心板上的引脚标注中查找。
.编程下载,观察硬件结果。
下载时请下载器形式请选择。
注:如下载后硬件调试没有通过,需重新检查连接,如果修改后重新进行下载,请将下载界面中原有的*文件删除,重新加载一次,然后再下载。
.撰写实验报告册,思考如何利用半加器设计一位全加器。
五、练习题. 请用本实验所作的一位半加器设计一位全加器。
要求利用原理图输入方式。
. 请利用一位全加器设计四位全加器。
要求利用原理图输入方式。
注:本练习主要使学生牢固掌握原理图输入设计方法,同时掌握设计中有关层次的基本概念。
EDA实验讲义(2012版)
《EDA技术》实验讲义蔡剑华湖南文理学院物电系电子技术教研室第一部分硬件资源说明1)PORT A信号分配注意:从FPGA引出到PORT A、PORT B的所有信号线,在适配器板上均有其它器件复用,使用时一定要注意,请查看《E-Play-SOPC适配器原理图》或查看前面FPGA对其它芯片的管脚分配表。
2)PORT B信号分配3)系统复位及系统时钟分配:4)模式分配16个用户IO单元IO1-IO16都是通过PORTB从FPGA直接引出,供用户二次开发使用,具体定义请参照PORTB的接口定义。
16个拨码开关,16个按键,12个交通灯和蜂鸣器四周4个灯,8位数码管,4x4矩阵键盘,16x16点阵LED均是从底板的两片CPLD引出的,这些资源有IO方式和总线操作两种控制方式。
当采用IO控制方式时,通过PORTB的41-44 四位设置不同的值来选择不同的资源。
4位功能管脚说明:PORT B 对应标识41 M[0]42 M[1]43 M[2]44 M[3]M[3..0] 0001 16位拨码开关接到16位数据总线上0011 16位按键接到16位数据总线上0111 12个交通灯和蜂鸣器四周4个灯接到16位数据总线上0010 8个数码管,低8位为7位段总加小数点选取位,高8位为8个数码管com端选取,即如果要选取数码管0,则发送总线值为:1111 1110 1111 1111,如要选取数码管1,则发送总线值为:1111 1101 1111 1111.此时所选数码管7段和DP位将全部亮.0101 4X4键盘功能选取,此时只有最低的8位有效,高4位为键盘的4位行扫描输出,低4位为键盘的4位列查询输入0110 16X16 LED点阵显示功能选取,16位数据总线作为点阵的行值,4位地址对应列值编码,(底板上已经过译码).4位地址分别对应E-PLAY-SOPC主适配器上外扩总线地址的ADDRESS[4..1]在做基本的数字逻辑实验时,如果用到底板的资源时,一定要设置M[3..0]4位功能位,并且设置值一定要与上述功能对应,如不对应有可能对硬件造成损伤.当实验用到的拨码,按键,LED小于5位时,可以使用E-PLAY-SOPC适配器上的资源,当实验中仅使用到E-PLAY-SOPC适配器就可以完成时,可以不设置M[3..0]特别注意在做Quartus II工程时必须将未分配的管脚置为三态输入。
EDA实验七八实验报告(1)
实验七序列检测器的VHDL设计(1)实验目的:用状态机实现序列检测器的设计,了解一般状态机的设计与应用。
(2)实验原理:序列检测器可用于检测一组或多组由二进制码组成的脉冲序列信号,当序列检测器连续收到一组串行二进制码后,如果这组码与检测器中预先设置的码相同,则输出 1,否则输出 0。
由于这种检测的关键在于正确码的收到必须是连续的,这就要求检测器必须记住前一次的正确码及正确序列,直到在连续的检测中所收到的每一位码都与预置数的对应码相同。
在检测过程中,任何一位不相等都将回到初始状态重新开始检测。
书上P168例5-11 描述的电路完成对序列数”11100101”的检测,当这一串序列数高位在前(左移)串行进入检测器后,若此数与预置的密码数相同,则输出”A”,否则仍然输出”B”。
(3)实验内容 1:用VHDL状态机设计一个8位序列信号检测器。
实验程序如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY SCHK ISPORT (DIN,CLK,CLR: IN STD_LOGIC;AB : OUT STD_LOGIC_VECTOR(3 DOWNTO 0));END ENTITY SCHK;ARCHITECTURE ONE OF SCHK ISSIGNAL Q : INTEGER RANGE 0 TO 8;SIGNAL D : STD_LOGIC_VECTOR(7 DOWNTO 0);BEGIND<="11100101";PROCESS(CLK,CLR)BEGINIF CLR='1' THEN Q<=0;ELSIF CLK'EVENT AND CLK='1' THENCASE Q ISWHEN 0=> IF DIN=D(7) THEN Q<=1; ELSE Q<=0; END IF;WHEN 1=> IF DIN=D(6) THEN Q<=2; ELSE Q<=0; END IF;WHEN 2=> IF DIN=D(5) THEN Q<=3; ELSE Q<=0; END IF;WHEN 3=> IF DIN=D(4) THEN Q<=4; ELSE Q<=0; END IF;WHEN 4=> IF DIN=D(3) THEN Q<=5; ELSE Q<=0; END IF;WHEN 5=> IF DIN=D(2) THEN Q<=6; ELSE Q<=0; END IF;WHEN 6=> IF DIN=D(1) THEN Q<=7; ELSE Q<=0; END IF;WHEN 7=> IF DIN=D(0) THEN Q<=8; ELSE Q<=0; END IF;WHEN OTHERS=> Q<=0;END CASE;END IF;END PROCESS;PROCESS(Q)BEGINIF Q=8 THEN AB<="1010";ELSE AB<="1011";END IF;END PROCESS;END ARCHITECTURE ONE;实验步骤如下:1 将源程序以SCHK.vhd的形式存入D盘名为liulin的文件夹中2 全程编译3 时序仿真4 引脚锁定和下载引脚锁定如下:CLR-PIN34;CLK-PIN32;DIN- PIN33;AB[0]- PIN77、 AB[1]- PIN78、AB[2]- PIN83、 AB[3]- PIN84;5 实际测试时序仿真波形如下图:实验分析:选择电路模式 No.8 。
EDA讲义
第一章CPLD/FPGA数字实验开发系统使用说明GXUEDA-98C实验开发系统设计原理和使用方法GXUEDA-98C型CPLD/FPGA实验开发系统是一种多功能、高配臵的EDA教学与开发设备,适合用于大学本科EDA教学、课程设计和毕业设计。
也适合于大专院校、科研所做项目开发之用。
该系统采用单片机控制整个实验系统的独特技术,在单片机的控制下,系统可以产生不同的实验模式,构成了以CPLD+MPU双系统为主要特征的复合型综合电子实验平台。
除了适用基于数字逻辑电路的电子设计自动化EDA,数字系统设计硬件描述语言(VHDL、Verilog HDL),数字系统设计等相关课程教学实验平台,还适用基于单片机的学生电子创新设计平台。
系统配有RS232、AD0809、DAC0832、RAM62256、LED点阵、SST89E564RD单片机等功能模块器件和接口,所构成的综合系统完全是由用户根据题意所设定,最典型的组合模式有13种,极为灵活(每种模式功能参见说明书)。
同时,该系统扩展灵活,完全可以根据用户需要改变电路结构,为高年级学生做创新性实验课题、综合电子设计、毕业设计、电子设计竞赛的训练以及为教师的科研工作提供了实验环境。
例如可利用此平台完成基于LED点阵的汉字显示、100MHz范围内自适应频率计等大型课题的设计。
该系统的实验电路结构是可控的,即可通过模式选择键SW17,使电路改变连接方式以适应不同的实验需要。
从物理结构上看,实验板的电路结构是固定的,但其内部的信息流在主控单片机的控制下,电路结构将发生变化,这种“多任务重配臵”(Multi-task Reconfiguring)是目前最先进的数字电子系统设计思想,本设计方案的优点体现在:1.适应更多的实验与开发项目;2. 兼容更多的PLD公司的器件;3. 兼容不同封装的CPLD和FPGA器件;4.减少连线的麻烦,增加了系统的可靠性和稳定性;5.用户可支配系统资源非常多。
《EDA》实验指导书--精讲
辽东学院自编教材《可编程逻辑器件原理及应用实验》指导书李海成编(计算机科学与技术、电子信息工程专业用)姓名:学号:班级:信息技术学院2013年6月目录目录 (1)实验一MAX+PLUS-II设计三八译码器......... 错误!未定义书签。
实验二半加器 . (2)实验三带进位输入的8位加法器 (4)实验四数据比较器 (6)实验五编码器 (9)实验六组合逻辑电路的设计 (12)实验七计数器 (14)实验八触发器功能的模拟实现 (17)(被加数)Ai(被加数)Bi(半加和)Hi(本位进位)Ci实验二 半加器实验类型: 验证性实验课时: 2指导教师: 李海成 时 间:201 年 月 日 课 次:第 节教学周次:第 周实验分室: 实验台号: 实 验 员:一、 实验目的1.设计并实验一个一位半加器2.掌握CPLD/FPGA 组合逻辑设计基本方法。
二、 实验原理计算机中数的操作都是以二进制进位的,最基本的运算就是加法运算。
按照进位是否加入,加法器分为半加器和全加器电路两种。
计算机中的异或指令的功能就是求两个操作数各位的半加和。
一位半加器有两个输入、输出,如图2-1。
图2-1 一位半加器示意图表2-1一个半加大路的真值表如表2-1所示,根据真值表可得到半加器的函数表达式:Bi Ai Bi Ai Hi ∙+∙= Bi Ai Ci ∙=三、 实验连线半加器的两个输入所对应的管脚同两位拨码开关相连,两个输入管脚名为a 、b ;两个输出所对应的管脚同两位发光二极管相连,两个输出管脚名为 c0和s,其中c0表示进位, s 表示相加结果。
四、 实验记录五、实验注意事项1.提前编辑实验程序。
2.根据教师要求正确操作,并检验逻辑的正确性六、思考题1.EDA半加器实现与数字电路设计方法的根本区别。
2.简述EDA设计半加器的不同方法,并比较其优缺点。
3.心得体会及其他。
实验三 带进位输入的8位加法器实验类型: 验证性实验课时: 2 指导教师:时 间:200 年 月 日 课 次:第 节教学周次:第 周实验分室: 实验台号: 实 验 员:一、 实验目的1. 设计并实现一个8位全加器2. 掌握EDA 中模块调用方法 二、 实验原理利用实验二构建的半加器构建一位的全加器,然后设计一个8 位的全加器,其框图如图4-1所示。
EDA实验讲义
EDA 实验
根据式 1-1,可以得出, So 为两个输入信号的异或( xor 门) , Co 为两个
实验一
一、实验目的
加法器
信号的与( and 门) 。 三、实验步骤 步骤 1:为本项设计建立文件夹 任何一项设计都是一项工程( Project) , Quartus II 以工程方式对设计过程 进行管理,工程中存放创建 FPGA 配置文件需要的所有设置和设计文件。设计 前一般首先为此工程建立一个放置与此工程相关的所有文件的文件夹,此文件 夹将被 EDA 软件默认为工作库( Work Library) 。一般不同的设计项目最好放 在不同的文件夹中,便于以后的管理,即使有些硬件描述语言程序原来已经完 成,建议最好把相关文件拷贝到新工程文件夹下,否则以后工程拷贝时可能不 完整,造成不必要的麻烦。 为了区分方便起见,每位实验者在硬盘建立一个以自己学号命名或英文名 字的一级文件夹,一级文件夹下建立二级文件夹,每个实验或工程对应一个耳 机 文 件 夹 , 二 级 文 件 夹 的 名 字 为 exp_1 , 第 二 个 实 验 的 二 级 文 件 夹 为 exp_2, ……,或者二级文件夹的名字和工程功能有关,便于以后的管理。 注意:Quartus II 为英文软件,早期的版本不支持全角字符,故文件夹不能 用中文(包括放在“桌面”文件夹下) ,也不能带空格;不要将文件夹设在计算 机已有的安装目录下,更不要将工程文件直接放在安装目录下。 步骤 2:建立设计工程 1. 点击桌面的 Quartus II 的快捷方式图标 QuartusⅡ8.0 软件。 如果第一次使用 Quartus II 软件,需要安装 License。此略
Co 0 0 0 1
示。
根据真值表,我们可以得出输出和输入之间的关系,如实验式 1-1 所示。
EDA课程实验讲义
实验一基本组合逻辑电路设计一、实验目的1. 熟悉Quartus Ⅱ软件基本使用方法;2.掌握电路的基本设计方法;3.掌握电路的基本仿真方法;4.掌握电路的基本下载方法。
二、实验内容用VHDL语言和原理图分别完成设计、仿真和下载基本逻辑门电路半加器的过程:输入:a,b;输出:求和sum,进位c;三、实验设备1. KH-31001 主机;2. KH-33001/2/3 下载板(或KH-33004 下载板或KH-33005下载板);四、实验步骤1. 新建文本文件在Quartus Ⅱ管理器界面中选择File/New.., 菜单,出现New对话框,如图1.1所示。
在对话框中选择VHDL File,点击OK,打开文本编辑器,输入半加器的VHDL语言程序,如图1.2所示。
然后保存文件halfadd.vhd。
需要注意的是,文件名与模块名必须一致。
图1.1 Quartus Ⅱ新建文本文件界面图1.2 输入半加器的VHDL语言程序图1.3保存文件2.建立工程项目(1)在管理器窗口中选择File/New Project Wizard...菜单,出现新建项目向导New ProjectWizard 对话框,输入项目目录(r:\test)、项目名称(halfadd)和顶层实体(halfadd),如图1.4所示,顶层实体名可以与项目名不同。
图1.4 建立工程项目(2)点击Next,添加文件对话框。
点击按钮“…”,添加与该项目有关的所有文件到当前项目,如图1.5所示。
本例只有一个文件halfadd.vhd,所以不用添加其它器件。
图1.5图1.6图1.7 添加项目有关的文件(3)点击Next按钮,再点击Next选择目标器件系列ACEX1K,选择目标器件封装形式,引脚数目和速度级别。
如图1.8所示,点击NEXT。
图1.8 选择目标器件(4)点击FINISH按钮,项目halfadd出现在项目导航窗口中,如图1.9所示。
双击文件名,即可打开文件。
EDA实验讲义
实验一离散傅里叶变换的性质及应用一、实验目的1、了解DFT的性质及应用。
2、熟悉MATLAB编程的特点。
二、实验内容1、用三种不同的DFT程序计算x(n)=R8(n)的傅里叶变换X(e jw),并比较三种程序计算机运行时间。
(1) 用for loop 语句的M函数文件dft1.m,用循环变量逐点计算X(k);(2) 编写用MATLAB矩阵运算的M函数文件dft2.m,完成上述运算;(3) 编写函数dft3.m,调用FFT库函数,直接计算X(k);(4) 分别利用上述三种不同方式编写的DFT程序计算序列x(n)的傅立叶变换X(e jw),并画出相应的幅频和相频特性,再比较各个程序的计算机运行时间。
M函数文件如下:dft1.m:function[Am,pha]=dft1(x)N=length(x);w=exp(-j*2*pi/N);for k=1:Nsum=0;for n=1:Nsum=sum+x(n)*w^((k-1)*(n-1));endAm(k)=abs(sum);pha(k)=angle(sum);enddft2.m:function[Am,pha]=dft2(x)N=length(x);n=[0:N-1];k=[0:N-1];w=exp(-j*2*pi/N);nk=n'*k;wnk=w.^(nk);Xk=x*wnk;Am=abs(Xk);pha=angle(Xk);dft3.m:function[Am,pha]=dft3(x)Xk=fft(x);Am=abs(Xk);pha=angle(Xk);源程序及运行结果:(1) x=[ones(1,8),zeros(1,248)];t=cputime;[Am1,pha1]=dft1(x);t1=cputime-tn=[0:(length(x)-1)];w=(2*pi/length(x))*n;figure(1)subplot(2,1,1), plot(w,Am1,'b'); grid;title('Magnitude part');xlabel('frequency in radians');ylabel('|X(exp(jw))|');subplot(2,1,2), plot(w,pha1,'r'); grid;title('Phase Part');xlabel('frequency in radians');ylabel('argX[exp(jw)]/radians');(2) x=[ones(1,8),zeros(1,248)];t=cputime;[Am2,pha2]=dft2(x);t2=cputime-tn=[0:(length(x)-1)];w=(2*pi/length(x))*n;figure(2)subplot(2,1,1), plot(w,Am2,'b'); grid;title('Magnitude part');xlabel('frequency in radians');ylabel('|X(exp(jw))|');subplot(2,1,2), plot(w,pha2,'r'); grid;title('Phase Part');xlabel('frequency in radians');ylabel('argX[exp(jw)]/radians');(3) x=[ones(1,8),zeros(1,248)];t=cputime;[Am3,pha3]=dft3(x);t3=cputime-t;n=[0:(length(x)-1)];w=(2*pi/length(x))*n;figure(3)subplot(2,1,1), plot(w,Am3,'b'); grid;title('Magnitude part');xlabel('frequency in radians');ylabel('|X(exp(jw))|');subplot(2,1,2), plot(w,pha3,'r'); grid;title('Phase Part');xlabel('frequency in radians');ylabel('argX[exp(jw)]/radians')从以上运行结果可以看出,调用FFT库函数直接计算X(k)速度最快,矩阵运算次之,用循环变量逐点计算运行速度最慢。
EDA实验指导讲义(自编)
实验注意事项1.启动计算机,进入2000系统(98系统可以直接进行硬件编程下载,其它系统需装驱动)。
2.打开Quartus II软件,注意软件有没有安装Lisence,若没有请自己安装。
3.在Quartus II中进行设计的输入、编译和仿真,若正确后可进行下一步。
4.检查实验箱的数据线有无连接到计算机的并口(轻插轻拔),电源线有无接上,若均有,则给实验箱上电(开关在实验箱后面)。
5.确认你所需要的实验模式,选中此种模式后,建议按一下右侧的复位键,以便使系统进入该结构模式工作。
6.在Quartus II中进行引脚的锁定后,重新编译一次,然后再下载到实验箱上,接着在实验箱上进行硬件验证。
需要修改代码的话,重复3~6部分。
7.注意在实验中不可带电插拔实验箱上的任何芯片。
8.不可随意搬动实验箱,若确实需要移动,需老师在场,且同意。
9.不可将水、饮料等其它液体洒到实验箱上面。
10.离开实验室时不可带走任何实验室的东西,比如实验说明书等。
11.闲置不用实验箱时,关闭实验箱后面的开关,注意不要拔掉数据线(后续实验还要用),将数据线放平,实验箱的盖子轻轻盖上,不必上锁扣!!!实验的一般步骤1.原理图或VHDL程序代码输入2.检查有无语法错误,编译通过3.建立波形仿真文件4.进行时序仿真,观察逻辑关系是否正确5.管脚分配与锁定6.重新编译适配,产生下载文件7.检查实验箱的电源接上否?并行下载线是否正确联接?拨码开关4为ON,其它为OFF?8.打开实验箱电源,选择合适的模式,复位系统9.编程下载,选择JTAG并行下载方式10.硬件仿真实验课的上课纪律:1.珍惜做实验的时间,禁止在电脑上玩游戏2.实行点名制度,须经老师在实验箱上进行硬件验证,若正确才算完成实验。
3.平时实验的成绩占期末考的30%~40%。
实验报告的撰写格式:实验名称一、实验目的二、实验内容三、实验条件(1)、开发软件Max+Plus II 或者Quartus II 5.0/7.2(2)、实验设备 GW-48系列EDA实验开发系统(3)、所用芯片Altera公司ACEX1K系列的EP1K30TC144-3芯片四、实验设计(1)、系统的原理框图(2)、原理图/VHDL源程序(3)、仿真波形(4)、管脚锁定情况五、实验结果及总结(1)、系统仿真情况(2)、硬件验证情况(3)、实验过程中出现的问题及解决的办法注:除仿真波形可用截图方式打印外,其余要求用手写。
EDA实验报告-实验1-简单组合逻辑设计
暨南大学本科实验报告专用纸课程名称EDA实验成绩评定实验项目名称简单组合逻辑设计指导教师郭江陵实验项目编号01 实验项目类型验证实验地点B305 学院电气信息学院系专业物联网工程组号:A6一、实验前准备本实验例子使用独立扩展下载板EP1K10_30_50_100QC208(芯片为EP1K100QC208)。
EDAPRO/240H实验仪主板的VCCINT跳线器右跳设定为3.3V;EDAPRO/240H实验仪主板的VCCIO跳线器组中“VCCIO3.3V”应短接,其余VCCIO均断开;独立扩展下载板“EP1K10_30_50_100QC208”的VCCINT跳线器组设定为 2.5V;独立扩展下载板“EP1K10_30_50_100QC208”的VCCIO跳线器组设定为3.3V。
请参考前面第二章中关于“电源模块”的说明。
二、实验目的1、熟悉Max+Plus II下简单的VHDL文本方式设计。
2、学习使用JTAG接口下载逻辑电路到CPLD并能调试到正常工作。
3、熟悉数字电路集成设计的过程。
三、实验原理译码器是把输入的数码解出其对应的数码,例如:BCD至7段显示器执行的动作就是把一个四位的BCD码转换成7个码的输出,以便在7段显示器上显示这个十进制数。
译码器有N个二进制选择线,那么最多可译码转换成2N个数据。
当一个译码器有N条输入线及M条输出线时,则称为N×M的译码器。
3×8译码器是依此而来。
3×8译码器真值表如下表所示:四、实验内容把译码器的输入接到拨码开关,输出端接8个LED灯,通过拨码开关改变输入的逻辑电平变化来观察LED输出情况,验证3×8译码器的工作状态。
五、实验要求学习使用Max+Plus II 的使用VHDL 语言组成简单的数字逻辑电路。
六、设计框图及原理图首先判断使能端口EN 状态,当其满足高电平时,判断三个输入端口A2、A1、A0的状态来决定输出,如使能端口为低电平则固定输出不受三个逻辑输入A2、A1、A0的影响,使能有效时按照三个输入状态来决定八个输出的状态。
EDA电子制图实验讲义-推荐下载
实验一软件基本操作该练习就是建立名为MYPRO的文件夹,并在该文件夹内建立MYFIRST的设计文件[练习1] 启动Protel99SE,建立名为MYPRO的文件夹,并在文件夹中建立名为MYFIRST的设计数据库文件。
提示:单击桌面上的Protel99SE快捷图标,进入Protel99SE设计环境。
若环境中已经有设计数据库文件,就执行菜单File/Close Design将其关闭。
若环境中没有设计数据库文件,就执行菜单File/New,在弹出的窗口中,单击Browse按钮,在弹出的文件名输入窗口中建立MYPRO的文件夹,并在文件夹中建立MYFIRST的设计文件。
[练习2] 在练习1的基础上,建立名为FIRSCH的原理图文件,并进入原理图设计窗口。
提示:执行File/New菜单,在弹出的窗口中选择Schematic Document图标。
[练习3] 1、设置原理图的图纸尺寸为A0,去掉可视栅格,去掉标题栏。
2、显示可视栅格,标题栏提示:在原理图设计环境中,执行菜单Design/Options,在弹出的窗口中选择Sheet Options页面,在页面右上角的Standard Styles下拉框中选择A0。
现在的原理图文件没有可视栅格,图幅为A0[练习4] 把光标设置成大十字,并把光标移动到图纸边沿时的移动速度设置为Auto Pan Recenter。
提示:设置光标:在原理图设计环境中,执行菜单Tools/Preferences,在弹出的窗口中选择Graphical Editing 页面,然后在该页面Cursor/Grid Options 区域的Cursor Type下拉列表框中选择Large Cursor 90。
设置光标移动:在原理图设计环境中,执行菜单Tools/Preferences,在弹出的窗口中选择Graphical Editing页面,然后在该页面Autopan Options 区域的Style下拉列表框中选择Auto Pan ReCenter。
EDA实验讲义v1[1].0
EDA实验指导书浙江师范大学数理与信息工程学院电工电子实验教学中心二〇〇八年九月目 录第一章 EDA技术实验基本要求 (1)1.1 EDA技术实验的预习要求 (1)1.2 EDA技术实验的基本步骤 (1)1.3 EDA技术实验的报告要求 (1)第二章 爱迪克EDA实验箱使用手册 (2)2.1 系统组成 (2)2.2 AEDK-EDA实验机布局图 (3)2.3 实验模块 (4)1.调压电路 (4)2.A/D转换 (4)3.参考电源 (5)4.电压比较 (5)5.D/A转换 (5)6.RAM (6)7.ROM (6)8.输出驱动 (7)9.继电器模块 (7)10.蜂鸣器 (8)11.交通灯实验 (8)12.输出指示 (9)13.骰子实验 (9)14.液晶模块 (10)15.RESET (10)16.CPU (10)17.脉冲和上下沿 (11)18.脉冲源 (11)19.LED点阵 (12)20.串口通讯 (12)21.输入端子 (13)22.开关设置 (13)23.设置跳接 (13)24.键盘显示 (14)2.4 EDA-A2适配卡信号表(ALTERA EPF10K10 10K20) (15)第三章 EDA实验内容 (17)实验1 MAX+PLUS软件的使用 (17)实验2 简单组合逻辑电路设计 (32)实验3 三—八译吗码器电路设计 (33)实验4 四选一数据选择器电路设计 (35)实验5 全加器电路设计 (36)实验6 交通灯电路设计 (37)实验7 骰子实验 (39)实验8 计数时钟综合实验 (41)第一章 EDA技术实验基本要求1.1 EDA技术实验的预习要求每次实验前,先阅读该实验的实验指导,并完成实验要求中的如下内容:(1)进行系统的分析建模,画出原理框图,并说明系统各模块的功能;(2)各个VHDL 源程序的设计;(3)波形仿真文件中各个输入信号的设置;(4)实验芯片的选择(教师可事先给定)、管脚锁定文件的编制以及进行硬件验证方案的制定。
EDA实验讲义
实验一简单逻辑电路设计与仿真一.实验目的1.学习并掌握MAX+PLUSⅡ及CPLD/FPGA实验开发系统的基本操作。
2.学习在MAX+PLUSⅡ下设计简单逻辑电路与功能仿真的方法。
二.实验仪器设备1.PC机一台2.KHF-1/KHF-2/KHF-3/KHF-4/KHF-5 CPLD/FPGA实验开发系统一套。
三.实验要求1.预习教材中的相关内容。
2.阅读并熟悉本次实验的内容。
3.用图形输入方式完成电路设计。
4.分析器件的延时特性。
四.实验内容及参考实验步骤1.用D触发器设计一个4进制加法计数器并进行功能仿真。
(1)开机,进入MAX+PLUSⅡ软件系统。
(2)点击File菜单Project子菜单之Name项,出现Project Name 对话框。
为当前的实验选择恰当的路径并创建项目名称(注意MAX+PLUSⅡ不识别中文路径)。
(3)点击File菜单之New项,出现对话框,为选择输入方式,这儿我们选择Graphic Editor File。
出现图形编辑窗口(注意界面发生了一定变化)。
(4)双击空白编辑区,出现Enter Symbol 对话框(或点击Symbol 菜单Enter Symbol 项)从Symbol Libraries项中选择mf子目录(双击),然后在Symbol File 中选择7474元件(双D触发器);在prim子目录中选择输入脚input 和输出引脚output(或直接Symbol Name 中输入所需元件的名称回车亦可)。
(5)在图形编辑窗口中的左侧点击连线按钮(draws a horizontal or vertical line),并完成对电路的连线(参考电路如图3-1)。
图3-1 4 进制加法计数器(6)在引脚的PIN_NAME处左键双击使之变黑,键入引脚名称。
(7)点击工具栏中的“change the project name to the name of the current file”按钮,使项目名称与当前设计文件相同。
EDA实验课内容
对于引脚锁定,输入时钟连接时钟信号CLOCK_50(PIN_G21脚,50MHz),输出用数码0(或其他)显示译码。
3.
根据以上的实验内容写出实验报告,包括程序设计、软件编译、仿真分析、硬件测试和详细实验过程;并对仿真波形图和实验结果进行分析。
整个设计由分频模块、计量模块、计费模块、控制模块等四个部分组成。
其中计量模块是整个系统实现里程计数和时间计数的重要部分;控制模块是实现不同计费方式的选择部分,根据所设计的使能端选择是根据里程计费还是根据等待时间计费;同时设计通过分频模块产生不同频率的脉冲信号来实现系统的计费。计量模块采用1HZ的驱动信号,计费模块采用15HZ的驱动信号;计量模块每计数一次,计费模块就实现15次计数,即为实现计时时的1.5元/min、计程时的1.5元/km的收费。组成框图如下所示:
EDA实验课内容
上机实验内容
实验一:
实验目的:
学习QUARTUS II软件的使用,掌握软件工程的建立、VHDL源文件的设计和波形仿真等基本内容;
实验内容:
1.
首先利用QuartusⅡ完成4选1多路选择器的文本编辑输入(mux41a.vhd)和仿真测试等步骤,给出仿真波形。
步骤:
(1)建立工作库文件夹和编辑设计文件;
(2)创建工程;
(3)编译前设置;
(4)全程编译;
(5)时序仿真;
(6)应用RTL电路图观测器(可选择)
2.
2.1原理:7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的,为了满足16进制数的译码显示,最方便的方法就是利用VHDL译码程序在FPGA或CPLD中实现。本项实验很容易实现这一目的。例1作为7段BCD码译码器的设计,输出信号LED7S的7位分别接如实验图1数码管的7个段,高位在左,低位在右。例如当LED7S输出为"0010010"时,数码管的7个段:g、f、e、d、c、b、a分别接0、0、1、0、0、1、0,实验中的数码管为共阳极的,接有低电平的段发亮,于是数码管显示“5”。
EDA软件及应用实验讲义
实验一multisim 2001基本界面一、实验目的1、掌握放置元器件的方法;2、掌握基本的界面设置;3、掌握通过修改参数调试电路图。
二、实验仪器微机一台。
三、实验原理通过单击桌面开始菜单程序组中的“Multisim 2001”,即可启动主程序进入Multisim 2001运行环境下的工作界面。
Multisim 2001提供了多个功能完备的工具栏,如下图所示。
Multisim 2001还提供了强大的元器件库。
适当的虚拟仪器有助于仿真,这也是Multisim的一大特性,虚拟仪器如下图所示。
Multisim 2001常用的快捷菜单有五种,分别是右击元器件或仪器、右击导线、右击工作区空白处、右击工作区窗口垂直滚动条区域和右击工作区水平滚动条区域产生的快捷菜单。
通过使用快捷键进行电路的创建、编辑和仿真等操作,非常方便灵活。
四、实验内容1、创建电压控制正弦波振荡器电路输入信号是方波,其频率为250 Hz、幅值为1 V、占空比为50%,而电压控制正弦波振荡器的设置为:Output peaklow为0.2V,对应正弦波频率为1kHz,Output peak high为1 V,对应正弦波频率为3k Hz。
2、方波倍频器电路输入为方波信号、频率为1 kHz、幅度为2.5V,占空比为50%。
要求仿真测量输入和输出信号,并观察调整R2与输出信号占空比变化情况。
在此图基础上添加函数信号发生器和示波器才可以正确。
另外电容值不正确,需要修改。
让学生在调试中自行学会修改的方法。
通过此图的搭建,学生还需要学会导线和元器件的参数设置。
五、实验心得实验二、multisim 2001模拟电路的应用一、实验目的1、能够快速找到元器件所在库;2、掌握搜索元器件的方法;3、掌握直流、交流、瞬态分析方法。
二、实验仪器微机一台三、实验原理直流工作点分析是将电路中的交流电源置零、电感短路、电容开路,求解出恒定激励条件下电路的稳态解,即静态工作点。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
实验一MAXPLUSII入门实验(3-8译码器)2课时
一、实验目的
通过一个简单的3—8译码器的设计,让学生初步了解CPLD设计的全过程和相关软件的使用。
二、实验设备
ZYE1502C型实验箱
三、内容要求
三个输入接拨位开关,八个输出接发光二极管,设计部分采用VHDL语言完成。
3-8译
四、实验步骤(以下各个实验步骤均相同,可省略)
1、输入;
2、编译;
3、仿真;
4、下载;
5、连线。
(1)3个拨位开关连接A、B、C信号所对应的管脚,8个输出信号顺序连接8个LED灯;(2)依次变更A、B、C输入,观测输出LED的变化。
五、实验报告
1、论述实验过程和步骤;
2、填写正确的实验结果。
六、实验小结。
实验二MAXPLUSII入门实验(四舍五入判决器)2课时
一、实验目的
1、让学生熟练掌握组合逻辑电路的设计方法和相关软件的使用;
2、加深CPLD设计的全过程。
二、实验设备
ZYE1502C型实验箱
三、内容要求
设计一个四舍五入判别电路,其输入为8421BCD码,要求当使能无效的时候,判别电路不工作。
当使能有效时,输入大或等于5时,判别电路输出为红灯亮,小于5时,判别电路输出为绿灯亮。
当大于等于10要两个灯都亮。
四、实验步骤(以下各个实验步骤均相同,可省略)
1、输入;
2、编译;
3、仿真;
4、下载;
5、连线。
(1)四个拨位开关(在P1、P2处选择)连接D3、D2、D1、D0信号所对应的管脚。
输出信号管脚接任LED灯。
(2)依次变更D3、D2、D1、D0输入,观测输出LED的变化。
五、实验报告
1、论述实验过程和步骤;
2、填写正确的实验结果。
六、实验小结。
实验三七段数码管显示器设计2课时
一、实验目的
1、通过一个七段数码管显示器的设计,让学生掌握组合逻辑电路的设计方法;
2、掌握逻辑电路的静态测试方法。
3、进一步了解CPLD设计的全过程和相关软件的使用。
二、实验设备
ZYE1502C型实验箱
三、内容要求
1、用拨位开关输入8421BCD码,七段数码管显示“0-F”16个数字。
2、观察字符亮度和显示刷新的效果:
(1)在八个数码管上固定显示;
(2)在八个数码管上轮换显示。
四、实验步骤
1、输入:设计部分采用VHDL语言完成;
2、编译;
3、仿真;
4、下载;
5、连线。
(1)四个拨位开关(在P1、P2处选择)连接D3、D2、D1、D0信号所对应的管脚。
时钟CLK所对应的管脚同实验箱上的时钟源相连。
(2)扫描片选地址信号SEL2,SEL1,SEL0的管脚同P37处的连接线孔SEL2,SEL1,SEL0相连;(3)七段数码管驱动信号A,B,C,D,E,F,G的管脚分别同扫描数码管的段输入PCLK处的连接线孔A,B,C,D,E,F,G相连。
五、实验报告
1、论述实验过程和步骤;
2、填写正确的实验结果。
(1)通过两种显示效果分析:字符显示亮度同扫描频率的关系,且让人眼感觉不出光闪烁现象的最低扫描频率是多少?
(2)字形编码的种类,即一个7段数码管可产生多少种字符,产生所有字符需要多少根被译码信号线?
六、实验小结。