EDA入门实验计算机组成与结构实验指导书

合集下载

EDA实验指导书_2

EDA实验指导书_2

EDA 技术与VHDL实验指导书通信实验室编制2012年9月实验一组合电路的设计 (3)实验二时序电路的设计.................................错误!未定义书签。

实验三8位全加器的设计................................错误!未定义书签。

实验四含异步清零和同步时钟使能的加法计数器的设计错误!未定义书签。

实验五十六进制七段数码显示译码器设计.错误!未定义书签。

实验六数控分频器的设计.............................错误!未定义书签。

实验七序列检测器的设计.............................错误!未定义书签。

实训一组合电路的设计一、实验目的熟悉QuartusⅡ的VHDL文本设计流程全过程, 学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。

二、实验内容1: 首先利用QuartusⅡ完成2选1多路选择器的文本编辑输入(mux21a.vhd)和仿真测试等步骤, 给出仿真波形。

最后在实验系统上进行硬件测试, 验证本项设计的功能。

2:将此多路选择器看成是一个元件mux21a, 利用元件例化语句描述一个双2选1多路选择器, 并将此文件放在同一目录中。

三、实验仪器ZY11EDA13BE型实验箱通用编程模块, 配置模块, 开关按键模块, LED显示模块。

四、实验原理1.2选1多路选择器的VHDL源代码ENTITY mux21a ISPORT ( a, b, s: IN BIT;y : OUT BIT );END ENTITY mux21a;ARCHITECTURE one OF mux21a ISBEGINPROCESS (a,b,s)BEGINIF s = '0' THEN y <= a ; ELSE y <= b ;END IF;END PROCESS;END ARCHITECTURE one ;下图为本例2选1多路选择器的仿真图形2.双2选1多路选择器以下是部分参考程序:...COMPONENT MUX21APORT ( a, b, s : IN STD_LOGIC;y : OUT STD_LOGIC);END COMPONENT ;...u1 : MUX21A PORT MAP(a=>a2, b=>a3, s=>s0, y=>tmp);u2 : MUX21A PORT MAP(a=>a1, b=>tmp, s=>s1, y=>outy);END ARCHITECTURE BHV ;五、实验报告:根据以上的实验内容写出实验报告, 包括程序设计、软件编译、仿真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。

电子EDA技术实验指导书2.docx

电子EDA技术实验指导书2.docx

实验二原理图绘制(1)熟悉建立/打开原理图文件的方法。

(2)熟悉图纸设置方法。

(3)熟悉原理图设计常用工具。

(4)熟练编辑电路原理图。

(5)掌握由电路原理图产生网络表的步骤。

(6)掌握电路原理图设计流程。

二、基本要求在口己的工程组的PCB工程文件中建立一个原理图文件。

按实验内容,在原理图工作窗口屮编辑电路,给元件编号、生成网络表、元件清单并保存好电路原理图。

三、实验器材P4计算机、Protel DXP软件四、实验内容采用分立元件绘制如图3-1的低频信号放大电路。

图3-1低频信号放人电路五、实验步骤1.建立原理图文件(1)运行Protel DXP,进入Protel DXP设计环境。

(2)打开工程文件:执行菜单命令[File】-> [Open Project---],在弹出的“Choose Project to Open"对话框中的【查找范围】中找到“我的PCB工程” 所在的路径,并将该文件打开。

(3)建立原理图文件:执行菜单命令【File] -> [New] -> [Schematic], 建立原理图元件库文件Sheetl. SchDoCo(4)保存并更改原理图文件名:执行菜单命令【F订e】T [Save],在弹出的“Save [Sheetl. SchDoc] As…"对话框的文件名输入框中输入文件名(如输入:“低频信号放大电路”),然后选择保存路径,再单击“保存”按钮。

至此已建立好原理图文件。

2.设置图纸:(1)执行菜单命令【Design]->【Options…】弹出的“Document Options” 对话框如图3 — 2所示。

在Standard Styles下拉列表中选择A4,即将图纸设置为A4(默认为B)。

其他选项采用默认值(若要改变画线的步进距离,可改变Grids 选项屮参数值)。

图3-2图纸设置对话框3.在原理图文件工作窗口中绘制原理图(1)在原理图文件(低频信号放大电路.SCHDOC)屮添加所需要的元件:单击右上角或右下角的面板标签Libraries, 口J激活Libraries面板。

EDA设计实践实验指导书

EDA设计实践实验指导书

集成电路设计实践实验指导书教师:黄小平西北工业大学软件与微电子学院第一部分集成电路设计实践实验计划及总目标一、课程的性质、教育目标及任务:集成电路设计实践的主要目的是进一步提高学生全正向设计数字集成电路的能力,能理解流程的概念和要求,能熟练运用相关EDA工具进行设计,验证,调试,实现等。

为进一步进行复杂SOC设计奠定基础。

本实验以FPGA全正向设计流程为蓝本进行实践。

二、教学计划及基本要求:(15学时)1.调试工具的使用(3学时)内容:练习使用debussy进行代码调试分析,使用nilint进行代码规范检查。

基本要求:熟练使用debussy工具。

能运用debussy提供的ntrace,nwave,nschematic,nstate 等功能进行IP的调试,波形的快速定位,状态机的分析等。

熟练使用nlint工具。

能运用nlint检查代码是否符合可综合规范,对简单的代码规范错误要能够分析定位并进行纠正,能读懂相关的report 等。

2.综合工具的使用(2学时)内容:练习使用synplify工具,掌握综合的基本流程,能进行简单约束的设置。

基本要求:理解综合的基本过程,通过使用synplify工具理解综合的输入文件和综合约束,综合后的输出文件,能读懂时序报告,会分析关键路径等。

3.FPGA布局布线工具的使用(4学时)内容:练习使用Altera 公司的Quartus工具,掌握布局布线工具的基本流程。

基本要求:理解布局布线的基本过程,通过使用Quartus工具理解FPGA布局布线的输入文件和时序约束,布局布线后的输出文件,能读懂布局布线后时序报告,会分析关键路径等。

能够使用SingalTap进行FPGA调试,会进行带时序的后仿真。

能够使用Quartus工具提供的Megafunction 生成IP。

进一步学习Xilinx公司的ISE的使用。

4.FPGA全正向流程实践(6学时)内容:从提供的4道题目中选做一道,严格按照FPGA全正向设计流程完成实践。

EDA电子实训实验指导书

EDA电子实训实验指导书

石河子大学本科毕业设计实验指导书基于EDA平台的电子实训实验设计与开发学生姓名贺权指导教师任玲所在学院机械电气工程学院专业电气工程及其自动化年级11级(1)班中国·新疆·石河子2015年6月目录一、软件工具的安装 (2)二、实验部分 (3)实验一组合逻辑3-8译码器 (3)实验二汽车尾灯控制电路 (11)实验三、基于VHDL语言的数字秒表电路 (15)一、软件工具的安装本实验使用的是Quartus II 9.0,该软件可运行在winxp/win7/win8(包括兼容模式)等系统下,下载安装破解方法如下:1.在Altera公司官网上下载Quartus II 9.0的安装文件。

其中包括Quartus II 9.0的安装文件和ip库。

2.开始安装,win8以下的可以通过解压后得到安装文件,win8以上的直接双击打开就行,先安装90_quartus_windows,然后是90_ip_windows。

默认装在C盘,确保空间足够。

3.软件可免费试用30天。

鼓励购买正版,破解方法可百度。

4.用Quartus_II_9.0_b151破解器.exe破解C:\altera\90\quartus\bin下的sys_cpt.dll文件(运行Quartus_II_90_b151破解器.exe后,首先要点击“浏览”选中sys_cpt.dll,安装默认的sys_cpt.dll路径是在C:\altera\90\quartus\bin下,选中sys_cpt.dll后再点击“应用”。

很多用户上来就点击“应用”,实际上并没有破解这个软件)。

5.把license.dat里的XXXXXXXXXXXX 用您老的网卡号替换(在Quartus II7.2的Tools菜单下选择License Setup,下面就有NIC ID)。

6.在Quartus II 9.0的Tools菜单下选择License Setup,然后选择Licensefile,最后点击OK。

《计算机组成与结构》课程实验指导书

《计算机组成与结构》课程实验指导书

聊城大学计算机学院计算机组成与结构》》课程《计算机组成与结构实验指导书软件工程系 王贤勇软件工程系2010年3月目 录前 言 (I)实验一 总线设计实验 (1)基本信息 (1)实验预习 (1)实验过程 (2)48实验结果分析 (11)实验四 运算器实验 (12)基本信息 (12)实验预习 (12)实验过程 (13)实验数据和实验结果记录 (14)实验结果分析 (15)实验五 CPU设计实验 (15)基本信息 (15)实验预习 (15)实验过程 (16)前言《计算机组成与结构》课程是计算机科学与技术专业的专业核心课,侧重于讲授计算机基本部件的构造和组织方式、基本运算的操作原理以及部件和单元的设计思想等,突出介绍计算机组成的一般原理,不结合任何具体机型,在体系结构上从外部大框架入手,层层细化,即采用自顶向下的分析方法,详述计算机组成原理。

本课程内容共分为4篇,第1篇(第1、2章)介绍计算机的基本组成、发展及应用;第2篇(第3~5章)介绍系统总线、存储器(包括主存储器、高速缓冲存储器和辅助存储器)和输入输出系统;建立计算实验一实验一 总线设计实验基本信息实验课程实验课程::计算机组成与结构 设课形式设课形式::非独立 课程学分课程学分::4实验项目实验项目::总线设计实验 项目类型项目类型::设计项目学时项目学时::2实验预习实验目的和要求实验目的和要求::时刻完成总。

实现(因3、对于T 0、T 1等信号的产生,可以使用D 触发器构成环形计数器实现。

可选的器件的有74LS74等。

3、寄存器的锁存、输出等信号,采用时钟信号与顺序信号的运算实现。

4、对于寄存器内容通过总线中转的要求,可以另设一个寄存器,或者称作总线数据锁存器。

5、为方便观察,使电路可以手动控制产生时钟以及其他信号。

实验过程1、根据实验预习阶段的实验设计方案,完成第一项要求的电路设计如下。

4、对于第二项要求,记录手工操作过程及显示数据。

此处没有使用LED显示,可以观察仿真软件中芯片引脚电平信号(红色为1、蓝色为0、灰色为三态)。

EDA实验指导书全

EDA实验指导书全

实验一Quartus II开发环境入门一、实验目的1、了解QuartusII软件及基本操作2、熟悉图形编辑器Block Builder/Schematic File的设计输入3、掌握电路的编译和适配4、掌握电路仿真与时序分析5、熟悉3/8线译码器工作原理和五人表决器设计二、实验原理1、以3/8线译码器为例,总体思路以EP1C3中的三个拨位开关,SW3,SW2,SW1为三个输入信号,可以代表8种不同的状态,该译码器对这8种状态译码,并把所译码的结果在七个彩灯上显示。

2、三、实验步骤主要仪器和设备:计算机,EDA实验箱。

步骤一:1、建立工程,设计输入。

选择菜单“File”→“New Preject Wizard”将设计文件加入工程中:点击下方的“Next” 按钮,在弹出的对话框中点击“File”栏的按钮,将此工程相关的所有VHDL文件加入进此工程(如果有的话)。

Cyclone系列的EP1C3T144C8按下“Next”后,出现仿真工具选择对话框。

不作任何选择。

4、完成设置点击“Next”后,完成工程的设定,点击“finish”。

步骤二:1、选择File/New或点击主菜单中的空白图标,进入新建程序文件状态,选择VHDL file 。

VHDL程序文件的扩展名是:* .vhd程序代码:-- A simple 3 to 8 decoderlibrary ieee;use ieee.std_logic_1164.all;entity decoder isport ( inp: in std_logic_vector(2 downto 0);outp: out std_logic_vector(7 downto 0)); end decoder;architecture behave of decoder isbeginoutp(0) <= '1' when inp = "000" else '0';outp(1) <= '1' when inp = "001" else '0';outp(2) <= '1' when inp = "010" else '0';outp(3) <= '1' when inp = "011" else '0';outp(4) <= '1' when inp = "100" else '0';outp(5) <= '1' when inp = "101" else '0';outp(6) <= '1' when inp = "110" else '0';outp(7) <= '1' when inp = "111" else '0';end behave;步骤三:1、选择菜单“File”→“New ” →“ Schematic File”,即弹出原理图编辑框。

EDA技术基础实验指导书

EDA技术基础实验指导书

实验一EDA工具基本操作与应用一、实验目的1、通过一个简单的D触发器的设计,让学生掌握QUARTUSII设计工具进行电子设计的基本流程。

2、初步了解可编程器件设计的全过程。

二、主要仪器设备EDA实验系统一台,EDA/SOPC实验系统一台三、实验步骤QUARTUSII软件的基本操作与应用1、运行QUARTUSII软件。

2、选择File/New Project Wizard,新建一个工程,并点击Next。

图:1-13、指定工作目录及工程顶层设计实体名称,如图1-1所示,并点击2次Next。

4、选择FPGA器件,如图1-2所示,并点击Finish,工程文件建立结束。

图:1-25、点击File/New,新建一个VHDL文件,如图1-3所示。

图:1-36、点击Ok,并保存,无需任何修改,点击Ok即可。

7、按照自己的想法在新建的VHDL文件中编写VHDL程序,如D触发器程序代码,如图1-4所示。

图:1-48、代码书写结束后,选择Processing/Start Compilation对编写的程序代码进行编译,直至编译通过,否则对程序代码进行修改。

9、编译通过后,选择File/New,在弹出的对话框中点击Other Files,选择Vector WaveformFile,并点击OK,建立一个波形文件,如图1-5所示,保存波形文件。

10、在波形文件加入输入输出端口,如图1-6所示。

图:1-611、对加入到波形文件中的输入端口进行初始值设置,并点击Processing/Start Simuliation 进行仿真。

查看仿真结果是否符合要求。

12、仿真无误后,选择Assignments/Assing Pins对实验中用到的管脚进行绑定分配,如图1-7所示。

图1-713、对于复用的引脚,需做进一步处理,使其成为通用I/O。

14、最后再编译一次,编译无误后,用下载电缆通过JTAG接口将对应的dff2.sof文件下载到FPGA中。

计算机组成原理实验指导书

计算机组成原理实验指导书

计算机组成原理实验指导书一、实验目的。

本实验旨在通过实际操作,加深学生对计算机组成原理的理解,掌握计算机硬件的基本组成和工作原理,提高学生的动手能力和实际操作能力。

二、实验器材。

1. 计算机主机。

2. 显示器。

3. 键盘。

4. 鼠标。

5. 逻辑分析仪。

6. 示波器。

7. 电源。

8. 万用表。

9. 逻辑门集成电路。

10. 接线板。

11. 连接线。

三、实验内容。

1. 计算机硬件基本组成的实验。

通过拆卸计算机主机,了解各个硬件组件的作用和连接方式,包括主板、CPU、内存、硬盘、显卡、电源等。

并通过重新组装,加深对计算机硬件组成的理解。

2. 逻辑门电路实验。

使用逻辑门集成电路和连接线搭建基本的逻辑门电路,包括与门、或门、非门等,并通过逻辑分析仪观察输入输出的关系,加深对逻辑门原理的理解。

3. 示波器使用实验。

学习示波器的基本使用方法,观察不同信号的波形,了解数字信号和模拟信号的特点,加深对计算机输入输出原理的理解。

4. 电源电压测量实验。

使用万用表测量计算机主板各个电源接口的电压值,了解各个电源接口的作用和电压标准,加深对计算机电源原理的理解。

四、实验步骤。

1. 计算机硬件基本组成的实验步骤。

(1)拆卸计算机主机,观察各个硬件组件的位置和连接方式。

(2)了解各个硬件组件的作用和特点。

(3)重新组装计算机主机,检查各个硬件组件的连接是否正确。

2. 逻辑门电路实验步骤。

(1)根据实验指导书搭建与门、或门、非门电路。

(2)使用逻辑分析仪观察输入输出的关系,记录实验数据。

3. 示波器使用实验步骤。

(1)学习示波器的基本使用方法。

(2)使用示波器观察不同信号的波形,记录实验数据。

4. 电源电压测量实验步骤。

(1)使用万用表测量各个电源接口的电压值。

(2)比较测量结果与电压标准的差异,记录实验数据。

五、实验注意事项。

1. 在拆卸和重新组装计算机主机时,注意防止静电干扰,避免损坏硬件组件。

2. 在搭建逻辑门电路时,注意连接线的接触是否良好,避免信号传输不畅。

EDA 实验指导书

EDA  实验指导书

EDA技术基础实验指导书海南大学信息学院编目录实验一MAX –plusII及开发系统使用 1 实验二高速四位乘法器设计7 实验三秒表的设计9 综合性设计性实验实验四序列检测器的设计12 实验五数字频率计的设计14 数字密码锁17 交通灯控制器182EDA实验指导书实验一MAX –plusII及开发系统使用一、实验目的1、熟悉利用MAX-plusⅡ的原理图输入方法设计简单的组合电路2、掌握层次化设计的方法3、熟悉DXT-BⅢ型EDA试验开发系统的使用二、主要实验设备PC 机一台(中档以上配置),DXT-B3 EDA实验系统一台。

三、实验原理数字系统设计系列实验是建立在数字电路基础上的一个更高层次的设计性实验。

它是借助可编程逻辑器件(PLD),采用在系统可编程技术(ISP),利用电子设计自动化软件(EDA),在计算机(PC)平台上进行的。

因为本实验是在计算机平台上进行,因此实验方式,实验手段和实验仪器与传统的实验有很大的区别,主要体现在以下几个方面:1、实验器材集中化,所有实验基本上在一套实验设备上进行。

传统的实验每作完一个实验,实验器材基本上都要变动(个别除外)。

而做本实验时,只要在计算机上把不同的程序输进去,其它步骤所有实验都一致;2、实验耗材极小(基本上没有耗材);3、在计算机上进行,自动化程度高,人机交互性好,修改、验证实验简单;4、下载后,实验结果清晰;5、实验仪器损耗少,维护简单;下面,我们就本套实验设备做一个简单的介绍。

3(一)Max+plusⅡ10.0的使用。

1、Max+PlusII软件的安装步骤:第一步:系统要求奔3CPU以上,128M内存以上,4G 以上硬盘,98 操作系统(98或Me操作系统才可以下载,其他操作系统下载必须安装驱动,否则只能仿真,如果大家只进行仿真的话,对系统没要求)第二步:安装点击安装可执行文件进行安装,安装完毕后会弹出一对话框,点击是或否都可以。

第三步:将安装文件夹中的License 文件夹打开,里面有一个License.bat 注册文件,将此文件复制到你的安装目录下(你的安装目录可放在任一个驱动器下,然后建立一个Max10的文件夹,将系统安装在此文件夹中,安装后此文件夹中会有三个文件夹)的任一个文件夹中,要清楚位置。

EDA技术实验指导书

EDA技术实验指导书

《EDA技术》实验指导书面向专业:通信工程信息工程自动化电子信息工程电气工程及其自动化信息与通信工程学院2016年9月前言一、课程性质本课程是电子信息工程、通信工程、信息工程和自动化专业必修的专业实验课程。

通过本课程的教学,使学生掌握EDA技术的开发流程,学会利用以硬件描述语言为描述工具,以可编程逻辑器件为实现载体,在数字系统设计领域熟练应用EDA技术,使其具备研究和开发现代数字系统的能力。

二、专业安排本系统分为多个模块,适合通信工程、信息工程、自动化、电子信息工程、电气工程及其自动化等专业使用。

三、本书特点本实验指导书的特点是引入工程项目机制来管理实验项目,着重培养学生的方案设计、算法分析和现场调试能力,为培养卓越工程师打下坚实的基础。

目录前言 (I)第一章实验系统 (1)1.1 系统整体结构 (1)1.2 核心板 (1)1.3 基础扩展模块 (2)1.4 自动控制模块 (3)1.5 信号处理模块 (3)1.6 通信接口模块 (4)第二章开发平台简介 (5)2.1 Quartus II简介 (5)2.2 Quartus II开发流程 (5)第三章实验项目 (9)实验1 平台应用及全加器设计 (9)实验2 信号发生器设计 (11)实验3 数字电压表设计 (13)实验4 数字频率计设计 (16)实验5 交通灯控制器设计 (19)第一章实验系统1.1 系统整体结构本实验指导书采用的EDA综合实验开发系统是我院电子信息与通信技术实验教学中心自主研制,整体结构如图所示。

1.2 核心板核心板采用Altera公司的EP4CE22E22C8N芯片,具有低内核电压、低功耗的特点。

芯片内部具有22320个逻辑单元,594kbit RAM嵌入式储存器,66个嵌入式18×18乘法器,4组通用PLL。

1、DIP开关主要功能是控制高低电平,通过手动控制为系统提供稳定的逻辑信号。

系统总共提供了3位拨档开关,当开关的档位在上方时则输出高电平“1”,反之则为低电平“0”。

EDA实验指导书_实验指导06_EDA_硬件综合实验

EDA实验指导书_实验指导06_EDA_硬件综合实验

“电子设计自动化(EDA)”实验指导书(六)一、实验课程编码:105009二、实验课程名称:电子设计自动化(EDA)三、实验项目名称:综合硬件实验二(带时分秒按键调整和静态数码管显示的数字钟)四、实验目的1)学会看硬件原理图,2)掌握FPGA硬件开发的基本技能3)培养EDA综合分析、综合设计的能力五、主要设备1)PC机,2)硬件实验箱,3)Max plusII软件开发平台。

六、实验内容1.6个数码管静态显示驱动2.按键模式选择(时\分\秒)与调整控制3.用硬件描述语言(或混合原理图)设计时、分、秒计数器模块、按键控制状态机模块、显示译码模块、顶层模块。

要求使用实验箱右下角的6个静态数码管(DS8C, DS7C, DS4B, DS3B, DS2B, DS1B)显示时、分、秒;要求模式按键和调整按键信号都取自经过防抖处理后的按键跳线插孔。

七、实验步骤1.打开Max plusII ,连接实验箱上的相关硬件资源,如下图1所示。

2.建立新文件,选择文本类型或原理图类型。

3.编写程序。

4.编译5.仿真,加载程序到芯片,观察硬件输出结果(数码管显示)6.结果正确则完成。

若结果不正确,则修改程序,再编译,直到正确。

图1 综合硬件实验二对应的硬件资源连接八、实验结果直接观察实验箱的数码管显示、操作按键进行控制和调整。

数字钟包括正常的时分秒计时,DS2B DS1B.125 H z.25 H z.5 H zH zH zH zH z4 H z56 H z024 H z096 H z6384 H z2768 H z5536 H z50 K H z.5 M H zM H zM H z2 M H z4 M H zHour 00-23 Minute 00-59Second 00-59实验箱右下角的6个静态数码管(DS8C, DS7C, DS4B, DS3B, DS2B, DS1B)显示时、分、秒。

adjust 递增调整时分秒,mode按键循环调整模式。

计算机组成原理实验指导书

计算机组成原理实验指导书

计算机组成原理实验指导书一、实验目的。

本实验旨在帮助学生深入理解计算机组成原理的相关知识,通过实际操作加深对计算机内部结构和工作原理的理解,提高学生的实际动手能力和解决问题的能力。

二、实验器材。

1. 计算机主板。

2. CPU。

3. 内存条。

4. 硬盘。

5. 显卡。

6. 电源。

7. 鼠标、键盘、显示器。

8. 实验用电路板。

9. 逻辑分析仪。

10. 示波器。

三、实验内容。

1. 计算机主板组装实验。

在本实验中,学生将学会如何正确组装计算机主板,包括CPU、内存条、硬盘、显卡、电源等组件的安装和连接。

通过这一步骤,学生可以深入了解计算机各个组成部分的功能和作用。

2. 逻辑分析仪应用实验。

逻辑分析仪是一种用于测量和分析数字信号的仪器,本实验将教会学生如何正确使用逻辑分析仪来观察和分析计算机内部的数字信号,从而更好地理解计算机的工作原理。

3. 示波器应用实验。

示波器是一种用于观察和分析电子信号的仪器,本实验将教会学生如何正确使用示波器来观察和分析计算机内部的电子信号,从而更好地理解计算机的工作原理。

四、实验步骤。

1. 将计算机主板放置在工作台上,依次安装CPU、内存条、硬盘、显卡和电源,并连接鼠标、键盘、显示器等外部设备。

2. 使用逻辑分析仪对计算机内部的数据总线、地址总线、控制总线等进行观察和分析,了解各个总线的作用和相互关系。

3. 使用示波器对计算机内部的时钟信号、控制信号等进行观察和分析,了解各个信号的波形特点和工作原理。

五、实验注意事项。

1. 在组装计算机主板时,注意防静电,避免对电子元件造成损坏。

2. 在使用逻辑分析仪和示波器时,注意正确连接和操作,避免对仪器造成损坏。

3. 在实验过程中,学生应严格遵守实验室规章制度,确保实验安全。

六、实验总结。

通过本实验,学生可以更直观地了解计算机内部各个组件的工作原理和相互关系,提高对计算机组成原理的理解和掌握。

同时,通过实际操作,学生还可以提高实际动手能力和解决问题的能力,为今后的学习和工作打下良好的基础。

EDA实验指导书1

EDA实验指导书1

第一部分图形法设计部分实验一:组合逻辑3-8译码器的设计(Quartus II软件的基本操作与应用)说明:本书将以实验一为例详细介绍Altera公司Quartus II软件的基本应用,其它实验将不再赘述。

读者在通过本实验后将对Quartus II软件及CPLD的设计与应用有一个比较完整的概念和思路。

在此因篇幅有限,仅仅介绍了Quartus II软件的最基本、最常用的一些基本功能。

相信读者在熟练使用本软件以后,你会发现该软件还有好多非常方便、快捷、灵活的设计技巧与开发功能。

一、实验目的:1、掌握组合逻辑电路的设计方法。

2、掌握组合逻辑电路的静态测试方法。

3、初步掌握Quartus II软件的基本操作与应用。

4、初步了解可编程器件的设计全过程。

二、实验步骤:(一)设计输入1)打开Quartus II 8.0开发软件,选择File-〉New Project Wizad。

弹出工程向导对话框,点击Next。

2)在第一行位置指定工程要保存的目录,如D:\Training,在第二行位置填入工程名decoder38和顶层实体名decoder38,再点击Next。

3)点击Next。

4)若实验所使用的是Cyclone系列的“EP2C35Q672C6”,点击Finish,工程创建完成。

此时只是配置了与工程相关的一些基本设置,在开发过程中如需要,仍然可以通过菜单Assignments ->Settings来修改。

5)新建文件:打开File->New,选择Device Design Files子类中的Block Diagram/Schematic File,点击OK,创建一个顶层文件。

6)出现下面所示界面,在界面上双击鼠标左键7)出现下图界面,点击Libraries框内的Project左侧加号,出现下拉菜单8)选择74138或者直接输入74138,点击OK将模块放置在刚刚建立的Block界面上。

10)在空白处点击鼠标左键,在Name处输入output点击OK,将输出模块加入到文件中去,同样方法再添加一个output。

EDA技术实验指导书

EDA技术实验指导书

EDA技术实验指导书福建农林大学计算机与信息学院电子信息工程系《EDA技术》课程组目录第一章GW48 EDA实验开发系统的概要说明1.1GW48教学实验系统原理与使用介绍 (3)1.2实验电路结构图说明 (6)1.3实验电路结构图 (8)1.4 GW48CK/PK2/PK3/PK4 系统万能接插口与结构图信号/与芯片引脚对照表 (12)第二章《EDA技术》课程的实验项目实验一、QuartusII 9.0软件的使用 (15)实验二、用文本输入法设计2选1多路选择器 (16)实验三、用文本输入法设计7段数码显示译码器 (17)实验四、用原理图输入法设计8位全加器 (19)实验五、乐曲硬件演奏电路的设计 (21)第一章 GW48 EDA 实验开发系统的概要说明1.1 GW48教学实验系统原理与使用介绍一、GW48系统使用注意事项 (用户必读!!!) ● 闲置不用GW48系统时,必须关闭电源!!!● 在实验中,当选中某种模式后,要按一下右侧的复位键,以使系统进入该结构模式工作。

注意此复位键仅对实验系统的监控模块复位,而对目标器件FPGA 没有影响,FPGA 本身没有复位的概念,上电后即工作,在没有配置前,FPGA 的I/O 口是随机的,故可以从数码管上看到随机闪动,配置后的I/O 口才会有确定的输出电平。

● 换目标芯片时要特别注意,不要插反或插错,也不要带电插拔,确信插对后才能开电源。

其它接口都可带电插拔。

请特别注意,尽可能不要随意插拔适配板,及实验系统上的其他芯片。

● 未用到+/-12V 时,请务必把右上角的开关关闭,指示灯亮时开,不亮时关。

康芯GW48系列EDA 设备较以前有较大的改进,每个型号详细说明在提供的关盘“系统特色及功能说明”文件夹里。

使用实验系统前,查阅此文件夹.以下将详述GW48系列SOPC/EDA 实验开发系统(GW48-PK2/PK3/PK4)结构与使用方法,对于这3种型号的共同之处将给予说明。

EDA技术实验指导书

EDA技术实验指导书

电子系统设计与EDA技术实验指导书实验须知:1.实验前认真阅读实验任务书,明确实验目的、内容及要求等;2.实验过程中要注意爱护实验设备,按要求接线、按步骤操作;3.要养成严谨科学的实验态度和勇于探索的实验精神,注重实践创新,对于在实验中遇到的困难,鼓励独立思考,用新思路、新方法解决;4.实验完成后,按学院资料入库标准认真完成实验报告,撰写实验报告要实事求是,严禁抄袭。

目录实验一MAX+plusⅡ的基本应用 (4)实验二简单组合逻辑设计 (6)实验三数码管扫描显示电路 (8)实验四简单时序逻辑电路设计 (11)实验六 4位二进制加法器设计 (13)实验七彩色LED组跑马灯的实现 (14)实验九数字钟的设计 (17)实验一MAX+plusⅡ的基本应用一、实验目的:1.熟悉MAX+plusⅡ的基本操作;2.掌握MAX+plusⅡ环境下的设计输入方法;3.熟悉相关的元件库以及功能模块的应用。

二、实验内容及步骤:1.通过2选1多路选择器的设计,熟悉VHDL文本输入的设计流程。

1)安装MAX+plusⅡ;2)启动MAX+plusⅡ(注意:第一次运行MAX+PLUSII时,需进行License设置。

从Option 菜单中选择License Setup进入License设置窗口,单击Browse按钮,选择License.dat文件即可);3)按照以下流程完成2选1多路选择器的VHDL设计:设计输入→保存→建立设计项目→编译→创建默认符号;2.通过1位二进制全加器的设计,熟悉图形输入设计流程。

1)半加器图形设计文件输入(后缀为.gdf)→保存→建立设计项目→编译→创建默认符号;2)顶层文件设计:全加器图形设计文件输入→保存→建立设计项目→编译→引脚分配及锁定→功能仿真→时序分析;三、实验要求:1.实验前预习2选1多路选择器的VHDL程序以及全加器的原理图;2.按照实验步骤完成本次实验任务,记录主要的设计流程;3.分析全加器功能仿真的波形;4.认真完成实验报告。

EDA技术实验指导书

EDA技术实验指导书

EDA技术实验指导书(适用专业:计算机科学与技术、电子信息、农电)年级专业:学号:姓名:成绩:信息与电气工程学院目录1前言 (1)2 MAX+Plus II应用简介 (2)3实验项目 (23)实验一实体说明练习 (23)实验二全加器的设计 (26)实验三计数器和寄存器 (29)实验四移位寄存器 (32)实验五数字钟的设计 (34)1 前言近几十年由于超大规模集成电路和软件技术的快速发展,使数字系统集成到一片集成电路内成为可能,Altera、Xilinx、AMD等公司都推出了非常好的CPLD和FPGA产品,并为这些产品的设计配备了设计、下载软件,这些软件除了支持图形方式设计数字系统外,还支持设计多种数字系统的设计语言,使数字系统设计起来更加容易。

《EDA技术与单片机实验I指导书》是与理论课程《EDA技术》配套开出的,是电信、计算机等专业的一门专业实验课程,对各专业的学生具有非常重要的作用。

本实验课与理论课同时进行,与理论课有着较密切的联系。

《EDA技术》是数字电路与逻辑设计的后续课程,需要上机进行实际操作,因此同学们在做本实验之前必须具备以下的基础知识:1.数字电路的基础知识;2.电子计算机常用操作系统的使用方法;3.必须有一定的理论知识做基础,与理论课同时进行。

其主要任务是让学生了解数字集成电路及其设计方法的发展现状,熟悉大规模可编程专用集成电路CPLD/FPGA的内部结构,掌握一种硬件描述语言,并具备使用VHDL进行数字电路系统设计的能力。

《EDA技术与单片机实验I指导书》中的内容是实验环节非常重要的部分,也是理解和巩固理论知识的过程,目的是让学生熟悉可编程专用集成电路的设计,开发流程,熟练掌握一种EDA设计工具,提高学生应用计算机技术进行数字电路与数字系统的设计和辅助分析的能力。

本书的目的就是帮助学生学会设计数字系统的硬件描述语言VHDL、并熟悉Altera公司产品和软件Max+PlusⅡ。

组成与结构课程实验指导书2018

组成与结构课程实验指导书2018

《计算机组成与结构》课程实验指导书杨晨宜南京工程学院二〇一八年五月实验一教学机用法与汇编语言程序设计一.实验目的1)了解TEC-XP+机的基本结构,掌握各开关、指示灯、按键的功能,建立对TEC-XP+机的感性认识。

2)掌握TEC-XP+机与PC机联机通讯的方法。

3)了解TEC-XP+机的指令系统及寻址方式;掌握TEC-XP+机的汇编语言程序设计方法。

4)掌握TEC-XP+机汇编语言程序的调试方法;掌握常用监控命令的格式、功能及用法。

二.实验内容1)了解TEC-XP+机的基本结构,熟悉各开关、指示灯、按键的功能。

2)完成TEC-XP+机与PC机的联机通讯。

3)调试几个汇编语言源程序,修改错误直至获得正确运行结果。

4)记录运行结果,并读懂实验程序。

三.预习内容——TEC-XP+机概述本课程采用的实验系统是由清华大学计算机系研制的TEC-XP+计算机组成原理教学机系统。

TEC-XP+硬件系统由运算器部件、控制器部件、内存储器系统和串并行接口线路组成;此外还设置了辅助电路和扩展电路两个辅助部分。

1)运算器部件运算器部件选用了4片Am2901芯片实现的。

运算器接收教学机内部总线IB送来的16位数据,其运算结果可以直接送到地址寄存器AR中,或者经2个8位的开关门电路送到内部总线IB。

运算结果的标志位信息送到标志位寄存器FLAG,FLAG的输出可以经过一个8位的开关门送到内部总线IB。

2)控制器部件本系统同时实现了微程序和组合逻辑两种控制器,通过一个拨动开关完成两种控制器之间的切换。

两种控制器主要线路都集中在一片高集成度MACH器件中实现。

除MACH芯片之外,还使用了1片微程序定序器Am2910芯片,2片8位的寄存器用作指令寄存器IR,1片传送IR低位字节内容到内部总线IB的开关门电路。

指令寄存器IR接收从内存储器读出并传送到内部总线IB的指令,其全部16位输出送到MACH芯片的输入引脚,其低8位内容还要经一个开关门送到内部总线IB。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验一: EDA 入门实验目的:了解并初步掌握使用Quartus Ⅱ软件集成开发工具进行专用硬件电路设计的工作过程; 了解并初步掌握使用硬件描述语言(VHDL )表述电路功能设计; 了解并初步掌握使用时序仿真方法验证电路逻辑功能;了解并初步掌握使用GW48实验台对设计电路进行硬件下载配置和测试。

实验任务:1. 首先利用Quartus Ⅱ完成“2选1多路选择器”电路的VHDL 语言表达描述; 2. 设计时序仿真测试激励波形信号并进行电路功能的仿真测试; 3. 完成“2选1多路选择器”电路的硬件下载编程;4. 设计硬件测试方案,配置试验台测试环境,进行硬件测试; 5. 实验完成,写出实验报告实验原理:本实验通过Quartus II 软件,编程设计硬件电路功能,在一片FPGA (型号EP1C6Q240C8)可编程芯片上,制作成一块具有“二选一多路选择器”功能的专用电路器件。

测试验证设计电路功能是在GW48实验系统上进行,该实验系统通过改变连线和软件配置可支持多种电路试验。

本实验选用电路模式NO.5。

“二选一多路选择器”制作成功后,选择2种可听得见的频率接至电路的两个输入端,电路的输出端接扬声器,作为选择的第3个输入端连接到一个乒乓按键。

通过揿动按键,改变输入选择端的电平,选择通过不同的频率信号到输出,从而,可以听到不同音调的声音。

2.设计目标实体“2选1多路选择器”电路原理图及逻辑表达式如下:该电路可用硬件描述语言VHDL 表达如下:ENTITY mux21a IS - - 说明电路实体具有3个输入端口, PORT (a, b, s: IN BIT; - - 分别称为a, b, s ,输入二进制位;y: OUT BIT );- - 一个输出二进制位的端口,命名y 。

END ENTITY mux21a;ARCHITECTURE one OF mux21a IS - - 说明该电路实体的功能结构行为: BEGINPROCESS ( a, b, s ) - - 对于3个输入位, 判断s 端口的值, BEGIN - - 如果是0,则y 端口输出值等于 IF s =‘0’ THEN y <= a; - - a 端口的输入, ELSE y <= b; - - 否则,等于b 端口的输入 END IF;END PROCESS; END ARCHITECTURE one; 图3.1 “2选1多路选择器”原理图和逻辑表达实验步骤:第一阶段:建立工程,输入设计文件(1)建立实验项目工作文件夹。

如:E:\MUX21.(2)打开Quartus II 软件。

点击File|New,新建设计文件(如图3.2所示)。

(3)在弹出新建对话窗口(如图3.3所示),选Device Design Files选项卡,在所列文件类型中选择VHDL File,点击OK按钮。

(4)输入目标电路设计的VHDL程序。

(5)通过依次选择菜单File|Save as保存程序文件,注意保存文件名与实体名必须一致。

保存文件时,若弹出对话框询问“Do you want to create anew project with this file?”时,选择“是”。

(6)新建工程,并将此设计文件加入工程。

操作如下:①自动或者由菜单File|New Project|Wizart进入新工程创建向导(如图3.4)。

输入文件名,选择“next”;②选择设计文件加入工程(图3.5)。

按“Add ALL”,按“next”;③选择目标芯片与设置。

选择本实验采用的芯片,系列是Cyclone, 型号为EP1C6Q240C8。

速度等级是8。

(如图3.6所示)。

④点击完成。

第二阶段:编译通过点击Processing下拉菜单,选 Start Compilation菜单项启动编译。

如图3.7所示。

第三阶段:时序仿真(1)进行功能仿真前,先设计时序激励波形文件。

打开File|New,在弹出窗口点击“Other Files”,从选项中选择“Vector Waveform File”。

如图3.8所示。

(2)选择端节点,在空白处双击鼠标左键,跳出Insert Node or Bus对话框。

选择Node Finder。

如图3.9所示。

(3)在新弹出的Node Finder对话框中点击List按钮,在左框显示找到的接点中,选择需要的信号,加入右框选中节点列表中。

如图3.10所示。

(4)通过点击Edit下拉菜单,选择仿真结束时间End Time。

如图3.11。

(5)设置输入仿真激励信号波形,如图3.12所示。

选择输入端节点a,点击左侧信号设置按钮“OVERWRITRE CLOCK”。

在弹出时钟窗口,设置信号周期1μs,占空比为50% 。

选择输入端节点b,点击左侧信号设置按钮“OVERWRITRE CLOCK”。

在弹出时钟窗口,设置信号周期0.5μs,占空比为50% 。

选择输入选择端节点s, 在时间轴上,用鼠标按住左键拖一节变成蓝色,再点击设置高电平按钮。

结果蓝色部分为高电平,其它部分为低电平。

完成后,保存此波形文件,后缀默认为 .vwf。

(6)通过Processing下拉菜单选Start Simulation,启动仿真。

图3.13 。

第四阶段:引脚锁定以上是软件仿真,要把此功能电路配置到实际芯片EP1C6Q240C8上,需要把逻辑电路的I/O端节点和芯片的引脚锁定。

芯片已经固定在GW48实验系统上,芯片引脚与实验系统上的多用接插座信号名称对照如表3-1。

表3-1 GW48多用接插口与结构图信号/芯片引脚对照表测试实验电路结构如图3.14所示。

输入端s 分派给芯片1号引脚,试验台上接按键1。

通过按动键1,选择s的输入高低电平。

引脚锁定操作时,依次选Assignments|Assignment Edior菜单选项,出现作业窗如图3.15。

(1)点击右上方的Pin按钮,或在Category栏中选pin,进入引脚锁定设置。

(2)双击TO列下方的<< NEW >>处,从下拉列表中选择端口信号名。

(3)在对应端口名所在行,双击Location列的空白处,从下拉列表中选择引脚号。

第五阶段:编程下载和测试编程下载前将计算机与试验台配置连接妥当。

(1)通过实验系统所配电缆与计算机打印机并行口连接;(主板左下方)(2) 在实验系统板上,用10芯电缆连接主板上BetyBlaster(MV)插口与编程适配板的JTAG 插口;(主板左中部)(3) 通过短路帽选择CLOCK0接1024Hz ,CLOCK5接256Hz. (主板右下方) (4) 选择电路模式5。

其他保持默认设置。

通过点击选择Tool|Programme r 菜单项,弹出图3.16窗口。

注意选择编程模式Mode 为JTAG ,编程器,选择设置为ByteBlasterII[LPT1],在下载文件左侧第一选择框Program/Config 处打勾。

点击Start 开始下载。

下载成功后系统弹出报告。

下载编程完成后,揿动按键1,扬声器发出不同音调。

实验要求:根据以上的实验内容写出实验报告,包括程序设计、软件编译、仿真分析、硬件测试的详细实验过程;给出程序分析报告、仿真波形图及其分析报告。

模式为编程器选择 ByteBlaster[L此处文件路径要实验二:全加器电路设计实验目的:熟悉QuartusⅡ的原理图输入方法设计简单组合电路;掌握层次化设计的方法,并通过一个8位全加器的设计,体验EDA软件原理图输入方式进行电子线路设计的详细流程。

实验任务:1.完成半加器和一位全加器的设计,包括原理图输入、编译、综合、适配、仿真、实验板上的硬件测试,并将此全加器电路设计成一个硬件符号入库。

2.建立一个更高层次的原理图设计,利用以上获得的1位全加器构成8位全加器,并完成编译、综合、适配、仿真和硬件测试。

3.实验完成,写出实验报告实验原理:本实验采用层次化设计方法,先用逻辑门设计构造1位半加器作为一个可调用的元件,然后调用半加器元件构造1位全加器,制成可调用的元件,再用1位全加器元件组成8位全加器。

实验设计软件采用Quartus II。

使用原理图输入设计方法。

最后下载到可编程芯片EP1C6Q240上,制造一片8位全加器集成电路。

测试在GW48实验系统上进行,选用电路模式NO.1(图3.17)。

安排如下:1位全加器的验证:试验台上的十六进制按键1(PIO0/1/2)分别接全加器输入ain、bin、cin;发光管D2、D3(PIO33/34)分别接sum和cout。

8位全加器的验证:试验台上的键2,键1(PIO7-PIO0)作为一组8位加数输入,键4,键3(PIO15-PIO8)作为另一组8位加数输入;数码管6(PIO23-20)和5(PIO19-16)显示加法和,发光管D8(PIO39)显示进位。

表3-2 1位全加器实验引脚表实验步骤:(1) 建立实验项目工作文件夹。

如:C:\ADDER. (2) 打开Quartus II 软件。

点击File|New ,新建设计文件。

在弹出新建对话窗口(如图3.18所示),选Device Design Files 选项卡,在所列文件类型中选择Block Diagram/Schematic File,点击OK 按钮。

(3) 在出现的原理图编辑窗口(图3.19)绘制原理图。

(4) 在编辑窗口左侧有绘图工具选择区。

点击元件按钮,弹出Symbol 符号选择窗口,如图3.20和图3.21所示。

从中可选择元器件,引脚,以及保存了的框图符号。

通过“箭头” 工具(图3.19)移动符号,布局好元件位置。

(5) 双击引脚符号中的PIN NAME ,对输入/输出引脚命名。

图3.19 绘图窗口“直角连线”工具(6)通过“直角连线”工具(图3.19)连接电路元件。

完成原理图设计。

(7)通过File|Save As菜单项保存文件到事先建立的工作文件夹中,命名为h_adder .bdf(原理图如图3.22所示)。

在这里保存文件时,暂时不进入“新建工程向导”。

图3.22 半加器的电路图(8)通过File|Create/Update|Create Symbol Files for Current File,将设计的原理图文件设置成可调用的元件。

(9)重复1)到5)步设计1位全加器电路。

这里设计全加器时,可通过浏览查找,定位到存放位置,调用半加器电路。

如图3.23。

保存在同一工作文件夹中,命名为f_adder.bdf(如图3.24所示),设置成可调用元件。

图 3.23 调用半加器元件图 3.24 1位全加器电路图(10)重复1)到5)步,调用全加器符号,设计绘制8位全加器电路(如图3.25所示)。

图3.25 8位全加器电路1.新建工程如实验一介绍的方法,工程名和顶层设计文件同为f_adder,加入工作文件夹内的所有文件。

相关文档
最新文档