西安电子科技大学verilogPPT课件

合集下载

西电verilog课件第九章

西电verilog课件第九章

例9.1-6:门级结构描述中间产生信号类型定义。
module xor_t3(in1,in2,in3,out1); input in1,in2,in3; output out1; xor U1(w1,in1,in2); xor U2(out1,w1,in3); endmodule
5/31/2016
Microelectronics School Xidian University
(2)采用补码赋值。 module reg_test2; reg[3:0]a; reg[7:0]b; initial begin a=4’b1111; b=16’b11111111; $display("%b",a); $display("%b",b); end endmodule
5/31/2016
5
直接赋值。
module reg_test1; reg[3:0]a; reg[7:0]b; initial begin a=-1; //实际赋值为4’b1111 b=-1; //实际赋值为16’b11111111 $display("%b",a); $display("%b",b); end endmodule
5/31/2016
Microelectronics School Xidian University
11
9.3 always语句中敏感事件表在时序电路中的使用
很多综合工具(如Quartus II)不允许边沿变化信号和电平变化信号同时出现在敏感事 件表中。例如 always @(posedge clk , negedge rst ) 是正确的,可以被综合。 always @(posedge clk , rst ) 是错误的,不可以被综合。

西安电子科技大学verilog教程

西安电子科技大学verilog教程
2013-8-7 17
西安电子科技大学
雷达信号处理国防科技重点实验室
Verilog 数字系统设计教程
第一讲 Verilog 的基本概念
宋万杰
西安电子科技大学 雷达信号处理国家重点实验室
1.1 硬件描述语言HDL
• 硬件描述语言HDL(Hardware Description Language)是 硬件设计人员和电子设计自动化(EDA)工具之间的接
2013-8-7
16
西安电子科技大学
雷达信号处理国防科技重点实验室
第一竞争对数字系统提出了越来越高的 要求,特别是需要设计具有实时信号处理能力的专用 集成电路,要求把包括多个CPU内核的整个电子系统 综合到一个芯片(SOC)上。 VHDL和Verilog HDL这两种工业标准的产生 顺应了历史的潮流,因而得到了迅速的发展。作为新 世纪的中国大学生应该尽早掌握这种新的设计方法, 使我国在复杂数字电路及系统的设计竞争中逐步缩小 与美国等先进的工业发达国家的差距。为我国新世纪 的深亚微米千万门级的复杂系统的设计培养一批技术 骨干。
2013-8-7 2
西安电子科技大学
雷达信号处理国防科技重点实验室
课时及考试安排
授课时数:30课时 上机时数;14课时
考试时数:90分钟
成绩计算:大作业*40%+考试*60%
2013-8-7
3
西安电子科技大学
雷达信号处理国防科技重点实验室
课程内容安排
第一部分 初级篇
第一章. 概述及设计工具介绍 第二章. Verilog HDL的基本知识 第三章. Verilog HDL基本概念 第四章. 常用Verilog语法之一 第五章.常用Verilog语法之二 第六章.常用Verilog语法之三 第七章.常用Verilog语法之四 第八章.常用Verilog语法之五

[工学]西安电子科技大学verilog教程1-2

[工学]西安电子科技大学verilog教程1-2

西安电子科技大学
雷达信号处理国防科技重点实验室
2. 数字信号处理
数字信处理系统往往要进行一些复杂的数字运算和数据处理, 并且又有实时响应的要求,他们通常是由高速专用数字逻辑系统或专 用数字信号处理器所构成,电路是相当复杂的。因此只有在高速大规 模集成电路设计制造技术进步的基础上,才有可能实现真正有意义的 实时数字信号处理系统。对实时数字信号处理系统的要求不断提高, 也推动了高速大规模集成电路设计制造技术的进步。现代专用集成电 路的设计是借助于电子电路设计自动化(EDA)工具完成的。学习和 掌握硬件描述语言(HDL)是使用电子电路设计自动化工具的基础。
• 由传统的观点出发,可以从三个不同的方面来研究计算,即从数 学、科学和工程的不同角度;由比较现代的观点出发,可以从四 个主要的方面来研究计算,即从算法和数据结构、编程语言、体 系结构、软件和硬件设计方法学。
• 一个复杂的数字系统设计往往是从算法到由硬线连接的门级逻辑 结构,再映射到硅片的逐步实现的过程。
&Moorby,刘明业等译。
西安电子科技大学
雷达信号处理国防科技重点实验室
课时及考试安排
授课时数:30课时 上机时数;14课时 考试时数:90分钟 成绩计算:大作业*40%+考试*60%
西安电子科技大学
雷达信号处理国防科技重点实验室
课程内容安排
第一部分 初级篇
第一章. 概述及设计工具介绍 第二章. Verilog HDL的基本知识 第三章. Verilog HDL基本概念 第四章. 常用Verilog语法之一 第五章.常用Verilog语法之二 第六章.常用Verilog语法之三 第七章.常用Verilog语法之四 第八章.常用Verilog语法之五

《Verilog设计入门》PPT课件

《Verilog设计入门》PPT课件

精选PPT
18
3.1 组合电路的Verilog描述
3.1.2 4选1多路选择器及其case语句表述方式 6.赋值操作符 “<=”,只能用于顺序语句,不能用于assign引 导的并行语句
两种过程赋值操作: (1)阻塞式赋值“=”:语句执行结束,右侧表达式的值立刻赋给左侧 目标变量。
对于always引导的块语句中含有多条阻塞式赋值语句时,当执行某 一条语句时,其它语句不允许执行,被阻塞了,具有顺序执行的特点。
精选PPT
23
3.1 组合电路的Verilog描述
3.1.4 4选1多路选择器及其if语句描述方式
精选PPT
24
3.1 组合电路的Verilog描述
3.1.4 4选1多路选择器及其if语句描述方式 1.if_ else条件语句 if(表达式) begin 语句1;语句2;…..语句n; end else begin 语句n+1;语句n+2;…..语句n+n; end
input a,b;
output so,co;
assign so = a ^ b;
assign co = a & b;
endmodule
精选PPT
28
3.1 组合电路的Verilog描述
3.1.5 加法器及其Verilog描述
2.基于always @引导的过程语句和逻辑操作符的描述
module h_adder2(a,b,so,co);
endmodule
精选PPT
34
3.1 组合电路的Verilog描述
3.1.5 加法器及其Verilog描述 5. 全加器描述----用半加器、或门模块及例化语句描述
精选PPT

verilog数字系统设计教程PPT课件

verilog数字系统设计教程PPT课件
数字系统设计的核心知识
• 复杂数字系统的构成; • 基本电路和 Verilog 的对应关系; • 同步有限状态机在电路中的作用; • 时钟树与自动综合技术
数字逻辑电路的构成
- 组合逻辑:输出只是输入逻辑电平的函
数(有延时),与电路的原始状态无关。
• 时序逻辑:输出不只是输入的逻辑电
平的函数,还与电路所处的状态有关。
8 ‘ d 31
8‘d
t
out[15:0]
202
16 ‘ d
16‘ d
t
Sn 开
93
606
t 关
全局时钟网和平衡树结构
触发器1
全局时钟网络 触发器 图1 全局时钟网示意图
缓冲器
触发器n
图2 平衡树结构示意图
避免冒险和竞争
• 由于组合逻辑和布线的延迟引起
a
c
b
a
b
t
c
t
clock
避免冒险和竞争与流水线
t
t
带寄存器的八位数据通路控制器的波形
ControlSwitch
in[7]
out[7]
CLOCK
out[7]
D Q[7]
ControlSwitch
in[0]
out[0]
CLOCK
out[0]
D Q[0]
带寄存器的八位数据通路控制器的Verilog描述
`define ON 1 ‘b 1 `define OFF 1 ‘b 0 wire ControlSwitch; wire clock wire [7:0] out, in;
ControlSwitch in[7]
out[7]
…... …...
in[0]

第四章 Verilog基本语法(一)PPT教学课件

第四章 Verilog基本语法(一)PPT教学课件
16
2020/12/11
玉溪师范学院
参数
➢ 参数是一个常量。用parameter定义一个标识符来代表 一个常量。参数经常用于定义时延和变量的宽度。
格式: parameter param1 = const_expr1,
param2 = const_expr2,
…,
paramN = const_exprN;
assign #XOR_DELAY S=A^B;
assign #AND_DELAY C=A&B;
endmodule
19
TOP HA
2020/12/11
玉溪师范学院
参数值的模块引用
module TOP3(NewA,NewB,NewS,NewC); input NewA, NewB; output NewS,NewC; HA #(5,2) Ha1 (NewA, NewB, NewS, NewC);ut
selb
26
2020/12/11
玉溪师范学院
reg型
寄存器是数据存储单元的抽象 reg型数据常用来表示always块内的指定信号,常代表触
发器 reg型数据用initial或者always块中指定信号 reg型数据的缺省值是x。可以被赋正值或者负值。当它作
为一个表达式中的操作数时候,作为无符号数。 reg数据类型定义格式为
2.0 ; -0.1等
科学记数法,例如:
235.1e2 等于23510.0
234_12e2
等于2341200.0
实数小数通过四舍五入被隐式地转换为最相近的整数。例如:
42.446, 42.45 转换为整数42
92.5, 92.699 转换为整数93
-5.62 转换为整数-6

西安电子科技大学verilog教程(3-7)

西安电子科技大学verilog教程(3-7)

选择数据类型时常犯的错误举例
example.v
修改后: 修改前: module example(o1, o2, a, b, c, d); input a, b, c, d; output o1, o2; reg c, d; reg o2 and u1(o2, c, d); always @(a or b) if (a) o1 = b; else o1 = 0; endmodule
//(共有k个双向总线
端口) I/O说明也可以写在端口声明语句里。其格式如下: module module_name(input port1,input port2,… output port1,output port2… );
西安电子科技大学
雷达信号处理国防科技重点实验室
3.1 模块的结构

内部信号说明
3.2 数据类型及其常量及变量

负数。一个数字可以被定义为负数,只需在位宽表达式前加一个减号,减号
必须写在数字定义表达式的最前面。注意减号不可以放在位宽和进制之间也不 可以放在进制和具体的数之间。 -8'd5 //这个表达式代表5的补数(用八位二进制数表示) 8‘d-5 //非法格式

下画线(underscore_)。下划线可以用来分隔开数的表达以提高程序可
module mod1( out, in1, in2); ... parameter cycle = 20, prop_ del = 3, setup = cycle/2 - prop_del, p1 = 8, x_ word = 16‟bx, file = "/ usr1/ jdough/ design/ mem_ file. dat"; ... wire [p1: 0] w1; // A wire declaration using parameter ... endmodule 注意:参数file不是string,

西安电子科技大学NCverilog教程

西安电子科技大学NCverilog教程

谢谢!
NC-Verilog概述
❖ 在SimVision分析环境下进行对设计中的问 题的调试。 SimVision是一个candence 仿真器统一的 图形化的调试环境。SimVision可以用于调 试用verilog,vhdl,SystemC或者它们混合 写成的数字,仿真,或数模混合电路的设计。
两种模式运行SimVision
SimVision让你控制和查询你的 设计仿真。SimVision中你可以 存储个别目标或者范围的仿真 数据,这可以使得仿真数据尽 量的小。 因此需要设置探测类型: 在设计窗口中,点击 test_drink _machine 图示旁边的加号,展开设计的 层次结构。选择顶层模块--> 选择菜单Simulation--〉 Create Probe
VHDL 编译器(compiler)
Verilog 编译器(compiler)
描述器(Elaboretor)
仿真/仿真器(Simulator)
NC浏览(NCBrowse)
波形察看窗口(Waveform Window)
选中2个.v文件 点击
进行编译,之后会 在右边窗口中看到 帽子图标(工作库) 下面产生2个文件。
NC-Verilog
NC-Verilog概述
❖ Cadence的仿真工具NC-Verilog simulator ❖ 在NC-Launch上进行设计仿真 ❖ 在SimVision分析环境下对设计中的问题进
行调试
NC-Verilog概述
❖ 在NC-Launch(用于管理大型设计的图形交 互接口)上进行设计的仿真。NCLaunch帮 助你配置和启动编译器,描述器和仿真器。
本例中是对所有的模表和范围进 行探测,因此是从顶层开始的。

西电verilog课件第六章

西电verilog课件第六章
第6章 Verilog HDL组合电路设计
5/31/2016
Microelectronics School Xidian University
1
6.1 组合逻辑电路的特点
组合电路功能分析
真值表
卡诺图和简化
逻辑函数表达式
逻辑电路图
5/31/2016
Microelectronics School Xidian University
Microelectronics School Xidian University
8
6.2.3 结构描述方式
例6.1-1的逻辑电路图如图6.1-4所 示。该电路的Verilog HDL程序 例6.2-6:用结构描述方式设计4选1数 据选择器。
module MUX (out,data,sel); output out; input [3:0] data; input [1:0] sel; wire w1,w2,w3,w4; not U1 (w1,sel[1]); U2 (w2,sel[0]); and U3 (w3,w1,w2,data[0]); U4 (w4,w1,sel[0],data[1]); U5 (w5,sel[1],w2,data[2]); U6 (w6,sel[1],sel[0],data[3]); or U7 (out,w3,w4,w5,w6); endmodule
5/31/2016
Microelectronics School Xidian University
7
6.2.2 逻辑表达式方式
例6.1-1的逻辑表达式为:OUT= AB+AC+AD+BCD,采用逻辑表达式方式设计
module decision (A,B,C ,D,OUT); input A,B,C,D; output OUT; assign OUT=(A&B)|(A&C)|(A&D)|((B&C)&D); endmodule

最新verilog 简单语法 夏宇闻 语法入门PPT课件

最新verilog 简单语法 夏宇闻   语法入门PPT课件
量值发生变化,便在仿真单位时间结束时显示其变 量列表中所有变量的值。
例: initial begin $monitor ($time, , “out=%b a=%b sel=%b”, out,a,b,sel); end
模块的测试
如何把被测模块的输出变化记录到数据库文件中?
(文件格式为VCD,大多数的波形显示工具都能读取该格式)
• Verilog HDL程序的书写格式自由,一行可以写几个语句,一个语句也 可以分写多行。
• 除了endmodule语句外,每个语句和数据定义的最后必须有分号
• 可以用/*.....*/和//...对Verilog HDL程序的任何部分作注释。一 个好的,有使用价值的源程序都应当加上必要的注释,以增强程序的可 读性和可维护性。
- Verilog HDL 既是一种行为描述的语言也 是一种结构描述的语言。
这也就是说,既可以用电路的功能描述也可以用元器件和它们之间的连接来建立所设计电路的 Verilog HDL模型。Verilog模型可以是实际电路的不同级别的抽象。这些抽象的级别和它们 对应的模型类型共有以下五种:
Verilog 的应用
简单的 Verilog HDL 模块
通过上面的例子可以看到: • Verilog HDL程序是由模块构成的。模块是可以进行层次嵌套的。正
因为如此,才可以将大型的数字电路设计分割成不同的小模块来实现特 定的功能,最后通过顶层模块调用子模块来实现整体功能。
• 每个模块要进行端口定义,并说明输入输出口,然后对模块的功能进行 行为逻辑描述。
行为。 • 提供了条件、if-else、case、循环程序结构。 • 提供了可带参数且非零延续时间的任务(task)程序结
构。 • 提供了可定义新的操作符的函数结构(function)。

西电verilog课件第八章

西电verilog课件第八章

8.2.2 FPGA配置和调用RAM
例8.2-1 FPGA设计的RAM外围电路对RAM进行读写操作
clr wren clk_wr clk_rd
rden
4/12/2020
count clk clr en
count clclrk
count clcrlk en
wren clk_wr clk_rd
addr_wr
3
8.2 基于FPGA的IP核RAM的设计及调用
8.2.1 IP核的简介
IP(知识产权)核将一些在数字电路中常用,但比较复杂的功能块,如FIR滤波器、 SDRAM控制器、PCI接口等设计做成一个“黑盒”或者是可修改参数的模块,供设计 者使用。IP核包括硬IP与软IP。调用IP核能避免重复劳动,大大减轻设计人员的工作量。
input clk_wr,clk_rd,wren,rden,clr; output [7:0] data_rd; wire [6:0] addr_wr,addr_rd; wire [7:0] data_wr; wire [7:0] data_rd; count addr_wr1 (.clk(clk_wr),.en(wren),.count(addr_wr),.clr(clr)); data_count data_wr1 (.clk(clk_wr),.count(data_wr),.clr(clr)); count addr_rd1 (.clk(clk_rd),.en(rden),.count(addr_rd),.clr(clr)); RAM_2PORT RAM1 (.wrclock(clk_wr) , .rdclock(clk_rd) , .wren(wren) ,
RAM data_rd
data_wr

VerilogHDL语言基础幻灯片PPT

VerilogHDL语言基础幻灯片PPT

Verilog HDL硬件描述语言功能
4.提供显式语言结构指定设计中的端口到端口的时 延及路径时延和设计的时序检查。
5.可采用三种不同方式或混合方式对设计建模。这 些方式包括:
➢ 行为描述方式—使用过程化结构建模; ➢ 数据流方式—使用连续赋值语句方式建模; ➢ 结构化方式—使用门和模块实例语句描述建模。
15.Verilog HDL能够监控模拟验证的执行,即模拟验 证执行过程中设计的值能够被监控和显示。这些值也能够 用于与期望值比较,在不匹配的情况下,打印报告消息。
16.在行为级描述中,Verilog HDL不仅能够在RTL级 上进行设计描述,而且能够在体系结构级描述及其算法级 行为上进行设计描述。
module 模块名(端口列表); 端口定义 input输入端口 output输出端口 inout输入/输出端口
数据类型说明 wire reg parameter、、、
逻辑功能定义 Assign连续赋值语句 Initial、Always过程语句 Function、Task调用 元件、模块调用 …...
Verilog HDL语言基础--本章概述
✓ Verilog的门级描述语句; ✓ Verilog编译指示语句; ✓ Verilog系统任务和函数; ✓ Verilog用户定义任务和函数和Verilog语言模块描 述方式。
本章内容对于初步掌握Verilog语言规则非常重 要。
--Verilog语言概述
17.能够使用门和模块实例化语句在结构级进行结构 描述。
Verilog程序结构
一个复杂电路系统的完整Verilog HDL模型是由若 干个Verilog HDL模块构成的,每一个模块又可以由若干 个子模块构成。Verilog使用大约100个预定义的关键词定 义该语言的结构。

西安电子科技大学NCverilog教程

西安电子科技大学NCverilog教程

在上述步骤之后,会生成一个你的设计对应的snapshot,仿真 就是针对这个Snapshot进行的。如图示,选中该文件,点击 仿真按钮
之后就启动了simulator,会弹出2个窗口 : 设计浏览器(Design Browser)和 控制窗口(Console window)
设计浏览器(Design Browser)
选择多步模式 Multiple step
选择creat cds.lib file,弹出第二个对 话框,save,在新对话框中点击ok, 之后在最初的对话框中点击Ok。
nclaunch的主窗口: 左边的窗口中显示了 当前目录下的所有文 件,在编译和描述后 会在右边显示设计的 库。
在在仿真你的设计以前,必须用编译器编译源文件,并且 用描述器(elaborator)把设计描述成snapshot的形式。 NCLaunch的主窗口让你可以连接你编译和描述设计所需 要的工具
❖ Simulate模式 在Simulate模式下你可以实时的看到仿真的数据。也就是说, 你可以在仿真的过程中就进行数据的分析。你可以通过对设 计设置断点和分步来达到控制仿真的。
控制台窗口 Console Window 源浏览器 Source Browser 设计浏览器 Design Browser 循环阅读器 Cycle Viewer 原理图追踪 Schematic Tracer 信号流浏览器 Signal Flow
现在要描述你的设计: 要展开库(worklib), 选择顶层单元(也就是 测试中的module), 然后选择描述按钮 (elabrate )
但是在这之前要设置 参数,选中module后 选择toolsElaborator 进行设置。
设置参数时注意将Access Visibility按 钮选中并且它的值是All,这个选项意味 着全部存取(读,写,连接探测)来仿 真目标,这样就可以在仿真的数据库里 面探测目标和范围,调试你的设计。 由于不是所有的代码都加了时间,为防 止报错在此处加上时间。 然后点击ok即可。

verilog第一~第六讲PPT

verilog第一~第六讲PPT
5) 开关级(switch-level)
西安电子科技大学
雷达信号处理国防科技重点实验室
1.1
门级结构描述
一个逻辑网络是由许多逻辑门和开关所组成,因此用逻辑门的模型 来描述逻辑网络是最直观的。Verilog HDL提供了一些门类型的关键 字,可以用于门级结构建模。
1.1.1 与非门、或门和反向器等及其说明语法
西安电子科技大学 雷达信号处理国防科技重点实验室
2.1
加法器
在数字信号处理的快速运算电路中常常用到多位数字 量的加法运算,这时需要用到并行加法器。并行加法器比串 行加法器快得多,电路结构也不太复杂。
用Verilog HDL 来描述加法器是相当容易的,只需要把运 算表达式写出就可以了。
module add_4( X, Y, sum, C); input [3 : 0] X, Y; output [3: 0] sum; output C; assign {C, Sum } = X + Y; endmodule
1) flop f1op_d( d1, clk, clrb, q, qn); 2) flop flop_d (.clock(clk),.q(q),.clear(clrb),.qb(qn),.data(d1));
显而易见,通过Verilog HDL模块的调用,可以构成任 何复杂结构的电路。这种以结构方式所建立的硬件模型不仅 是可以仿真的,也是可综合的,这就是以门级为基础的结构 描述建模的基本思路。
综合工具能自 动把以上源代码综 合成一个八位比较 器
雷达信号处理国防科技重点实验室
2.4 多路器
多路器是一 个多输入、单输 出的组合逻辑电 路,在数字系统 中有着广泛的应 用。它可以根据 地址码的不同, 从多个输入数据 中选取一个,让 其输出到公共的 输出端。
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
第四章 Verilog HDL数字逻辑 电路设计方法
9/26/2020
Microelectronics School Xidian University
1
4.1 Verilog HDL 语言设计思想和可综合特性
例4.1-1:用Verilog HDL设计模256(8bits)计数器 (a)可综合程序描述方式 module counter (count,clk,reset); output count; input clk,reset; reg [7:0] count; reg out; always @(posedge clk)
not
U1 (w1,sel[1]);
U2 (w2,sel[0]);
and
U3 (w3,w1,w2,data[0]);
U4 (w4,w1,sel[0],data[1]);
U5 (w5,sel[1],w2,data[2]);
U6 (w6,sel[1],sel[0],data[3]);
or
U7 (out,w3,w4,w5,w6);\
A
B
C
OUT
0
0
0
0
0
0
1
0
0
1
0
0
1
0
0

0
0
1
1
1
1
0
1
1
1
1
0
1
1
1
1
1
9/26/2020
Microelectronics School Xidian University
6
在Verilog HDL中,可以使用“case”语句对电路进行 描述性设计,表4.2-1真值表设计代码如下: module desingn (OUT,A,B,C); output OUT; input A,B,C; reg OUT;
endmodule
assign w1=(~sel[1])&(~sel[0])&data[0]; assign w2=(~sel[1])&sel[0]&data[1]; assign w3=sel[1]&(~sel[0])&data[2]; assign w4=sel[1]&sel[0]&data[3]; assign out=w1|w2|w3|w4; endmodule
9/26/2020
Microelectronics School Xidian University
4
4.2 Verilog HDL组合电路设计
组合电路的特点是:电路中任意时刻的稳态输出仅仅取决于该时刻的 输入,而与电路原来的状态无关。
组合电路的设计需要从以下几个方面考虑:
•所用的逻辑器件数目最少,器件的种类最少,且器件之间的连线最简单。这 样的电路称“最小化”电路; •其次,为了满足速度要求,应使级数尽量少,以减少门电路的延迟;电路的 功耗应尽可能的小,工作时稳定可靠。
endmodule
在现阶段,作为设计人员熟练掌 握Verilog HDL程序设计的多样性和可 综合性,是至关重要的。作为数字集 成电路的基础,基本数字逻辑电路的 设计是进行复杂电路的前提。本章通 过对数字电路中基本逻辑电路的 Verilog HDL程序设计进行讲述,掌握 基本逻辑电路的可综合性设计,为具 有特定功能的复杂电路的设计打下基 础。
if (!reset) count<=0; else if (count==8'b11111111) count<=0;
else count<=count+1; endmodule
(b)常见的错误描述方式 module counter (count,clk,reset); output count; input reset,clk; reg [7:0] count; reg out; integer i; always @(posedge clk,reset) begin if (!reset) count<=0; else for (i=0;i<=255;i=i+1) count<=count+1;
end
endmodule
9/26/2020
Microelectronics School Xidian University
2
同时Verilog HDL的电路描述方式具有多样性,这也决定了对于电路 设计的多样性。
例4.1-2: 用Verilog HDL设计数字多路选择器 (a)采用真值表形式的代码: module MUX (out,data,sel); output out; input [3:0] data; input [1:0] sel; reg out;
always @(A or B or C) case ({A,B,C}) 3'b000 : OUT<=0; 3'b001 : OUT<=0; 3'b010 : OUT<=0; 3'b100 : OUT<=0; 3'b011 : OUT<=1; 3'b101 : OUT<=1; 3'b110 : OUT<=1; 3'b111 : OUT<=1;
描述组合逻辑电路有四种方式:结构描述、逻辑代数、真值表、抽象 描述。
9/26/2020
Microelectronics School Xidian University
5
例4.2-1:设计一个3个裁判的表决电路,当两个或两个以上裁判同意 时,判决器输出“1”,否则输出“0”。
方法1:真值表方式
真值表是对电路功能最直接和简单的描述方式。根据电路的功能,可 以通过真值表直接建立起输出与输入之间的逻辑关系。例4.2-1有三个 输入端A、B、C和一个输出端OUT。
(b)采用逻辑表达式形式的代码: module MUX (out,data,sel); output out; input [3:0] data; input [1:0] sel; wire w1,w2,w3,w4;
always @(data or sel) case (sel) 2'b00 : out<=data[0]; 2'b01 : out<=data[1]; 2'b10 : out<=data[2]; 2'b11 : out<=data[3]; endcase
9/26/2020
Microelectronics School Xidian University
3
(c)采用结构性描述的代码: module MUX (out,data,sel); output out; input [3:0] data; input [1:0] sel; wire w1,w2,w3,w4;
相关文档
最新文档