EDA复习_15上

合集下载

《EDA技术基础》复习资料学习资料

《EDA技术基础》复习资料学习资料

EDA 技术基础》复习资料EDA复习资料《EDA技术基础》题库及参考答案(试用版)目录EDA复习资料 (I)一、填空题 (1)二、单选题 (4)三、简答题 (13)四、应用题 (14)五、V HDL程序填空: (16)一、填空题1. 现代电子技术经历了CAD 、CAE 和EDA三个主要的发展阶段。

2. EDA技术包括大规模可编程器件、硬件描述语言HDL 、EDA工具软件和实验开发系统四大要素。

3. EDA的设计输入主要包括文本输入方式、图形输入方式和波形输入方式三种形式。

4. 目前已经成为IEEE标准、应用最为广泛的硬件描述语言有VHDL 和Verilog HDL 。

仿真是一种对所设计电路进行间接检测的方法,包括_功能仿真和_时序仿真。

5. 层次化设计是将一个大的设计项目分解为若干个子项目或若干个层次来完成的。

先从底层的电路设计开始,然后在高层次的设计中逐级调用低层次的设计结果,直至实现系统电路的设计。

6. 用HDL设计的电路,既可以被高层次的系统调用,成为系统的一部分,也可以作为一个电路的功能块独立存在和独立运行。

7. 可编程逻辑器件从结构上可分为乘积项结构器件和查找表结构器件。

8. ___________________________________________________________________________________ PLD (FPGA、CLPD )种类繁多,特点各异。

共同之处包括的三大部分是逻辑块阵列、输入___________________ / 输出块和互连资源。

9. FPGA两类配置下载方式是主动配置方式和被动配置方式。

10. Quartus II是EDA器件制造商A ltera公司自己开发的EDA工具软件。

11. Quartus II工具软件安装成功后、第一次运行前,还必授权。

12. Quartus II支持原理图、文本和波形等不同的编辑方式。

13. 在Quartus II集成环境下,设计文件不能直接保存在计算机磁盘根目录中,因此设计者在进入设计之前,应当在磁盘根目录中建立保存设计文件的工程目录(文件夹) 。

EDA复习资料

EDA复习资料

第1章1.EDA技术:2.①硬件实现:大规模集成电路制造技术、IC版图设计、ASIC测试和封装、FPGA和CPLD编程下载、自动测试)②计算机辅助工程(计算机辅助设计CAD计算机辅助制造CAM计算机辅助测试CAT计算机辅助工程CAE)3.EDA电子设计自动化,SOC单片电子系统,HDL硬件描述语言,SOP标准操作程序,FPGA现场可编程序门阵列,CPLD复杂可编程逻辑器件4.FPGA和CPLD开发效率高,成本低,可编程5.EDA是微电子技术和现代电子设计技术的结合6.EDA发展:①电子设计成果以自主知识产权的方式得以明确表达成为可能②在仿真验证和设计方面都支持标准硬件描述语言的功能强大的EDA软件不断推出③电子技术全方位进入EDA时代7.利用EDA进行电子系统设计的最后目标:完成专用集成电路ASIC或印制电路板的设计和实现8.掩模ASIC分类:门阵列ASIC、标准单元ASIC、全定制ASIC9.FPGA和CPLD直接面向用户,具有极大的灵活通用性,使用方便,硬件测试和实现快捷,开发效率高,成本低。

10.H DL分类:VHDL、Verilog HDL(主流)、System Verilog、System C(未来)11.综合定义:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程12.传统的电子设计技术是自底向上的,特点是首先关注并解决底层硬件的可获得性自顶向下:在整个设计流程中各环节逐步求精的过程,从自然语言说明到HDL的系统行为,从描述系统的分解,RTL模型的建立,门级模型产生到最终的可以物理布线实现的底层电路。

13.自顶向下设计流程;设计说明书→建立HDL行为模型→HDL行为仿真→HDC-RTL级建模→前端功能仿真→逻辑综合→测试向量生成→功能仿真→结构综合→门级时序仿真→硬件测试→设计完成14.E DA仿真:时序仿真、功能仿真15.E da开发流程;原理图/HDL 文本输入→功能仿真→综合→适配→编程下载→硬件测试16.标准单元法必须预建完善的版图单元库,库中包括以物理版图级表达的各种电路元件和电路模块“标准单元”17.常用的HDL仿真器有ModelSim、Active HDL,VCS,NC-Sim18.I P(自主知识产权核)分类:①软IP(用硬件描述语言描述的功能块)②固IP(完成了综合的功能块)③硬IP(提供设计的最终阶段产品:掩模)19.I P内涵:①必须是为了易于重用而按嵌入式应用专门设计的②必须实现IP模块的优化设计20.优化的目标:芯片的面积最小,运算速度最快,功率消耗最低,工艺容差最大21.E DA工具:设计输入编辑器、仿真器、HDL综合器、适配器、下载器22.设计输入:①图形输入(原理图输入、状态图、波形图输入)②HDL文本输入ASIC设计流程:系统规格说明→系统划分→逻辑设计与综合→综合后仿真→版图设计→版图验证→参数提取后仿真→制版和流片→芯片测试。

EDA历年重点复习资料

EDA历年重点复习资料

1.1EDA技术是以计算机为工作平台,以相关的EDA开发软件为工具,以大规模可编程逻辑器件包括CPLD、FPGA、EPLD等)为设计载体,以硬件描述语言HDL(Hardware Description Language)为系统逻辑描述的主要表达方式,自动完成系统算法,电路设计,最终形成电子系统或专用集成芯片的一门新技术。

1.2EDA技术研究的对象是电路或系统芯片设计的过程,可分为系统级、电路级和物理级三个层次。

EDA设计领域和内容,包括从低频、高频到微波,从线性到非线性,从模拟到数字,从可编程逻辑器件通用集成电路到专用集成电路的电子自动化设计。

1.3一般认为EDA技术的发展经历了3个阶段:计算机辅助设计(CAD)、计算机辅助工程(CAE)、电子系统设计自动化(EDA)。

1.4名词解释:1)IC是英文Integrated Circuit的缩写,就是集成电路的意思。

是一种微型电子器件或部件。

采用一定的工艺,把实现一定功能的电路,所需的晶体管、二极管、电阻、电容和电感等元件及布线互连一起,制作在一小块或几小块半导体晶片或介质基片上,然后封装在一个管壳内,成为具有所需电路功能的微型结构。

2)ASIC(Application Specific ntergrated Circuits):即专用集成电路,是指应特定用户要求和特定电子系统的需要而设计、制造的集成电路。

3)FPGA现场可编程门阵列和CPLD复杂可编程逻辑器件:它是在PAL、GAL、PLD 等可编程器件的基础上进一步发展的产物。

它是作为ASIC领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。

是目前较为流行的一种EDA设计技术。

4) IP核:是具有知识产权的集成电路设计技术,是指那些己经过验证的、可重利用的、具有某种确定功能的集成电路设计模块。

分为软IP (soft IP core )、固IP (firm IP core)和硬IP (hard IP core )。

EDA基础知识复习要点

EDA基础知识复习要点

EDA基础知识复习要点EDA(探索性数据分析)是指对数据集进行初步的探索,以了解数据的特征、相互关系和隐藏的模式。

它是数据分析的重要环节,可以帮助我们发现数据中的特殊特征、异常值和缺失值,为后续的建模和决策提供基础。

下面是EDA基础知识的复习要点。

1.数据集的基本情况-数据集的大小和维度:了解数据集包含的样本数量和特征数量。

-数据类型和缺失值:检查每个特征的数据类型并确定是否存在缺失值。

-数据的摘要统计信息:计算每个特征的基本统计指标,如均值、中位数、标准差等。

-数据可视化:使用直方图、箱线图、散点图等可视化工具来展示数据的分布和异常值。

2.数据的清洗和预处理-处理缺失值:根据缺失值的情况选择适当的方法填充或删除缺失值。

-处理重复值:检查是否存在重复的样本或特征,并根据需要删除或合并重复值。

-异常值处理:通过设定阈值或使用统计方法来检测和处理异常值。

-标准化和归一化:对于数据集中的数值型特征,可以进行标准化或归一化处理,使其具有相同的尺度。

3.特征工程-特征选择:根据特征的重要性和相关性选择最相关的特征,减少特征的维度。

-特征构建:使用原始特征衍生出新的特征,例如添加多项式特征、交互特征等。

4.数据探索-变量间的关系:分析变量之间的相关性和因果关系,帮助了解特征之间的影响。

-群组分析:将数据集中的样本划分为不同的组群,发现数据的内在结构和模式。

-关键性因素:识别影响特定结果的重要因素,找到数据集中的关键趋势和影响因素。

5.可视化分析-直方图:显示定量变量的分布情况,帮助了解数据的偏态和尾部情况。

-箱线图:显示定量变量的中位数、上下四分位数和异常值,有助于观察数据的离散情况。

-散点图:显示两个变量之间的关系,帮助检测变量之间的线性关系或异常值。

-折线图:显示变量随时间变化的趋势,用于分析时间序列数据。

6.结果解释和报告-对EDA结果进行总结和解释,包括数据集的特点、重要特征、异常值等。

-以清晰和可视化的方式呈现结果,如使用图表、表格等形式。

EDA复习要点

EDA复习要点

1、三大技术的重点转移,即:分立元件像集成电路转移、模拟技术像数字技术转移、固定器件向可编程器件转移。

原因:简化设计、减小体积、提高系统的可靠性、提高可测性、缩短设计周期。

2、自顶向下的优势:设计的主要方针和调试过程在高层次上完成的,这有利于早起发现结构设计上的错误,避免设计工作的浪费,同时也减少了功能仿真的工作量,提高了设计的一次成功率。

现代EDA技术采用“自顶向下”的高层次的电子设计方法,代表了当今电子技术的最新发展方向。

3、电子电路设计与仿真工具包括SPICE/PSPICE、MultiSIM、Matlab 等。

4、PCB(Printed—Circuit Board)设计软件种类很多,如:Altium Disigner、orCAD、PowerPCB等,目前我国多采用Altium Disigner。

5、PLD(可编程逻辑器件)是一种可以完全代替74系列及GAL、PLA的新型电路,只要有数字电路基础,会使用计算,就可以进行PLD的开发。

PLD的在线编程能力和强大的开发软件,使工程师可以再几天、甚至几分钟内就可以完成一额昂几周才能完成的工作,并可将数百万门的复杂设计集成在一颗芯片内。

PLD技术在发达国家已成为电子工程师必备的技术。

6、EDA是电子设计自动化(Electronic Design Automation.)英文的缩写简称。

7、EDA技术的含义:以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。

8、广义的EDA技术包括:电子电路设计、PCB设计和IC设计。

9、狭义的EDA技术特指以可编程浅见(包含可编程逻辑器件和模拟器件)为物理载体,以图形或硬件描述为主要功能的表达方式,利用EDA工具自动完成现代电子系统构架夫人设计技术。

1、数字系统的设计可以再一下几个层次上进行:(1)传统的数字系统逻辑设计方法,(2)专用集成电路的设计方法,(3)自顶向下的现代数字系统设计方法。

EDA复习资料整理版

EDA复习资料整理版

答案由个人整理,难免有错,仅供参考!!!一、单项选择题:上课讲到的其它知识点,如变量与信号的含义;进程的含义;eda开放流程等;有两三道可能有一定难度。

二、名词解析:ASIC:专用集成电路、FPGA:现场可编程门阵列、IP:知识产权核或知识产权模块、JTAG:联合测试行动组、HDL:硬件描述语言、SOPC:可编程片上系统、PCB:(Process Control Block)进程控制块、RTL:寄存器传输级、LPM:可设置模块库、CPLD:复杂可编程逻辑器件、FSM:有限状态机三、简答题:课本第一、二章后的简答题;另要明白自顶向下设计方法的优缺点。

简答题:第一章的:1-2、1-4、1-5以及“要明白自顶向下设计方法的优缺点”有可能会考,已经去除了两道题目。

第二章的:2-2、2-4(已经去除三道题目)PS:以上信息是在EDA课程答疑时,老师透露出来的,没有在的题目肯定不会考。

答案自己在书上找,by xw.四、VHDL程序改错题:主要偏重语法。

五、编程器:组合逻辑电路:38译码和83编码38译码:library ieee;use ieee.std_logic_1164.all;entity DECODER isPORT(A,B,C: IN STD_LOGIC;Y: OUT STD_LOGIC_VECTOR(7 DOWNTO 0));end DECODER;architecture A of DECODER isSIGNAL INDATA :STD_LOGIC_VECTOR(2 DOWNTO 0);BEGININDATA<=C&B&A;PROCESS(INDATA)BEGINCASE INDATA ISWHEN "000"=>Y<="00000001";WHEN "001"=>Y<="00000010";WHEN "010"=>Y<="00000100";WHEN "011"=>Y<="00001000";WHEN "100"=>Y<="00010000";WHEN "101"=>Y<="00100000";WHEN "110"=>Y<="01000000";WHEN "111"=>Y<="10000000";WHEN OTHERS=>Y<="00000000";END CASE;END PROCESS;end A;83编码library ieee;use ieee.std_logic_1164.all;entity encode isport(d: in std_logic_vector(7 downto 0);ein : in std_logic;a0n,a1n,a2n,gsn,eon : out std_logic);end encode;architecture behav of encode issignal q : std_logic_vector(2 downto 0);begina0n<=q(0);a1n<=q(1);a2n<=q(2);process(d)beginif ein='1' thenq<="111";gsn<='1';eon<='1';elsif d(7)='0' thenq<="000";gsn<='0';eon<='1';elsif d(6)='0' thenq<="001";gsn<='0';eon<='1';elsif d(5)='0' thenq<="010";gsn<='0';eon<='1';elsif d(4)='0' thenq<="011";gsn<='0';eon<='1';elsif d(3)='0' thenq<="100";gsn<='0';eon<='1';elsif d(2)='0' thenq<="101";gsn<='0';eon<='1';elsif d(1)='0' thenq<="110";gsn<='0';eon<='1';elsif d(0)='0' thenq<="111";gsn<='0';eon<='1';elsif d="11111111" thenq<="111";gsn<='1';eon<='0';end if;end process;end behav;时序逻辑电路:同步计数器与异步计数器(要明白两种计数器的差异)同步计数器:LIBRARY IEEE;--带时钟使能的同步4位二进制减法计数器;use IEEE.STD_LOGIC_1164.ALL;use ieee.std_logic_unsigned.all;ENTITY count ISPORT(clk,clr,en : IN STD_LOGIC;qa,qb,qc,qd : OUT STD_LOGIC);END count;ARCHITECTURE behav OF count ISSIGNAL count_4 : STD_LOGIC_vector(3 DOWNTO 0);BEGINQa<=count_4(0);Qb<=count_4(1);Qc<=count_4(2);Qd<=count_4(3);PROCESS (clk,clr)BEGINIF(clk'EVENT AND clk ='1') THENIF(clr='1') THENCount_4<="0000";ELSIF(en='1') THENIF(count_4="0000") THENcount_4<="1111";ELSEcount_4<=count_4-'1';END IF;END IF;END IF;END PROCESS;END behav;异步计数器LIBRARY IEEE; --带时钟使能的异步4位二进制加法计数器use IEEE.STD_LOGIC_1164.ALL;use ieee.std_logic_unsigned.all;ENTITY countA ISPORT(clk,clr,en : IN STD_LOGIC;qa,qb,qc,qd : OUT STD_LOGIC);END countA;ARCHITECTURE example OF countA ISSIGNAL count_4 : STD_LOGIC_vector(3 DOWNTO 0);BEGINQa<=count_4(0);Qb<=count_4(1);Qc<=count_4(2);Qd<=count_4(3);PROCESS (clk,clr)BEGINIF(clr='1') THENCount_4<="0000";ELSIF(clk'EVENT AND clk = '1' ) THENIF(en='1') THENIF(count_4="1111") THENcount_4<="0000";ELSEcount_4<=count_4+'1';END IF;END IF;END IF;END PROCESS;END example;:有限状态机:ppt上的两个图,moore和mealy机(要分清两种类型)看ppt,ppt上的应该是mealy机。

《EDA技术及应用》考试复习要点

《EDA技术及应用》考试复习要点

《EDA技术及应用》考试复习要点一.名词解释(5个小题,15分):包括1.1,1.3,1.6,3.2~3.8,4.2,5.1~5.4等章节的基本概念,基础知识.二.填空题(10空,共20分):包括1.1,1.3,1.6,3.2~3.8,4.2,5.1~5.4等章节的基本概念,基础知识.三.选择题(5个小题,共15分):包括1.1,1.3,1.6,3.2~3.8,4.2,5.1~5.4等章节的基本概念,基础知识.四.程序阅读_功能修改题(1个小题,10分)五.VHDL程序设计题(2个小题,共40分)包括3.9,3.10,6.3,6,5,6.6等章节,主要考试的程序设计类型有:触发器和锁存器;计数器(至少掌握3种方法,参见教材P283,P187-189);分频器(至少掌握2种方法,参见教材P287,327);数据动态扫描显示电路(包括计数,选择,译码等基本电路,主要是实验里每次都要用);数据寄存器(数码寄存器和移位寄存器)(参见教材185,186);序列信号发生器和检测器(参见教材P189,P191);读写存储器SRAM(参见教材P193-195)。

欧伟明2011年4月28日附:数据动态扫描显示电路的一个VHDL参考程序。

【例1】用VHDL设计一个8bits并行半加器,要求将被加数、加数和运算结果用动态扫描的方式,在7个共阴LED数码管上同时显示出来,其对应的数据动态扫描显示电路外围器件接线如图1所示,并使用MAX+plus II进行仿真。

图1 数据动态扫描显示电路外围器件接线图--DISPLAY.VHDLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;--实体说明ENTITY DISPLAY ISPORT(CLK:IN STD_LOGIC; --动态扫描显示时钟,24HZ以上AIN: IN STD_LOGIC_VECTOR(7 DOWNTO 0); --8位被加数BIN: IN STD_LOGIC_VECTOR(7 DOWNTO 0); --8位加数SUM0,SUM1,SUM2:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);--仿真观测输出COM:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);--数码管COM端的选择输出端SEG: OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); --数码管8段显示驱动输出端END ENTITY DISPLAY;ARCHITECTURE ART OF DISPLAY ISSIGNAL AA, BB,SINT: STD_LOGIC_VECTOR(8 DOWNTO 0);SIGNAL CNT:STD_LOGIC_VECTOR(2 DOWNTO 0);SIGNAL BCD:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGIN--进行运算前的准备及加法运算AA<='0'&AIN;BB<='0'&BIN;SINT<=AA+BB;SUM0<=SINT(3 DOWNTO 0); --运算结果的仿真观测输出SUM1<=SINT(7 DOWNTO 4); --运算结果的仿真观测输出SUM2<="000"&SINT(8); --运算结果的仿真观测输出--产生动态扫描显示的控制信号PROCESS(CLK)BEGINIF CLK'EVENT AND CLK='1' THENIF CNT="111" THENCNT<="000";ELSECNT<=CNT+'1';END IF ;END IF;END PROCESS;PROCESS(CNT)BEGIN--显示数据的选择,对应显示数码管公共端的选通,低电平有效 CASE CNT ISWHEN "000" =>BCD<=AIN(3 DOWNTO 0);COM<="1111110"; WHEN "001" =>BCD<=AIN(7 DOWNTO 4);COM<="1111101"; WHEN "010" =>BCD<=BIN(3 DOWNTO 0);COM<="1111011"; WHEN "011" =>BCD<=BIN(7 DOWNTO 4);COM<="1110111"; WHEN "100" =>BCD<=SINT(3 DOWNTO 0);COM<="1101111"; WHEN "101" =>BCD<=SINT(7 DOWNTO 4);COM<="1011111"; WHEN "110" =>BCD<="000"&SINT(8);COM<="0111111";WHEN OTHERS=>BCD<="0000";COM<="1111111";END CASE;--将BCD码转换成数码管的8段驱动信息,高电平有效CASE BCD ISWHEN "0000" => SEG<="00111111";WHEN "0001" => SEG<="00000110";WHEN "0010" => SEG<="01011011";WHEN "0011" => SEG<="01001111";WHEN "0100" => SEG<="01100110";WHEN "0101" => SEG<="01101101";WHEN "0110" => SEG<="01111101";WHEN "0111" => SEG<="00000111";WHEN "1000" => SEG<="01111111";WHEN "1001" => SEG<="01101111";WHEN "1010" => SEG<="01110111";WHEN "1011" => SEG<="01111100";WHEN "1100" => SEG<="00111001";WHEN "1101" => SEG<="01011110";WHEN "1110" => SEG<="01111001";WHEN "1111" => SEG<="01110001";WHEN OTHERS => SEG<="00000000";END CASE ;END PROCESS;END ARCHITECTURE ART;图1.33 数据动态扫描显示程序仿真图。

EDA复习的知识要点及答案

EDA复习的知识要点及答案

EDA复习的知识要点及答案EDA知识要点:1、EDA技术的含义。

EDA(Electronic Design Automation)即电子设计自动化:是以计算机为工作平台以EDA软件工具为开发环境以软件描述语言为设计语言以ASIC为实现载体的电子产品自动化设计过程。

2、简述EDA技术经历了那几个发展阶段。

EDA技术:计算机辅助设计CAD、计算机辅助工程CAE、电子系统设计自动化ESDA.3、可编程器件(PLD)分为哪两类4、可编程器件分为哪些类?可编程逻辑器件分为:简单可编程逻辑器件SPLD、复杂可编程逻辑器件CPLD、现场可编程门阵列FPGA、在系统可编程ISP逻辑器件。

5、简述EDA技术的CPLD/FPGA的设计流程。

6、目前国际上较大的PLD器件制造公司有那几家公司。

三大公司:Altera、Xilinx西林、Lattice来迪恩。

7、目前较流行的集成EDA开发环境(软件)有那些?Altera公司的QuartusⅡ和maxplusⅡ、Xilinx公司的ISE、Lattice公司的ispLEVER Adbanced System。

8、目前流行的HDL语言有那些?ABEL-HDL、AHDL、VHDL9、硬件描述语言(HDL)的种类很多?10、什么是ASIC。

ASIC(Application Specific Intergrated Circuits)即专用集成电路,按照设计方法不同可分为:全定制ASIC、半定制ASIC、可编程ASIC11、VHDL作为工业标准,是由那个机构制定并公布的。

IEEE12、VHDL是由什么机构制定并公布的。

IEEE1076、IEEE1076-199313、VHDL的两大类基本描述语句是什么。

VHDL的基本描述语句有并行语句(Concurrent Statements)和顺序语句(Sequential Statements)两大类14、从执行方式看VHDL的基本描述语句包括哪两大基本描述语句?15、MAX+PLUSⅡ平台上,原理图、仿真波形文件、VHDL文件的扩展名是什么?原理图.gdf、仿真.scf、vhdl.vhd。

EDA复习

EDA复习

EDA复习第一部分基本概念一、填空题型(1)CPLD是复杂的可编程逻辑器件的缩写。

(2)FPGA是现场可编程门阵列的缩写。

(3)CPLD的基本结构看成由可编程逻辑宏单元、可编程I/O控制模块和可编程内部连线等三部分组成。

(4)图形文件的扩展名是GDF ,仿真通道文件的扩展名是SCF,波形文件的扩展名是WDF,使用VHDL语言,文本设计文件的扩展名是.VHD 。

(5)基于可编程器件EDA技术主要包括四大要素,分别为大规模可编程器件、硬件描述语言、软件开发系统、实验开发系统。

(6)MAX+PLUSII支持的设计输入方法有图形输入,波形输入,文本输入。

(7)元件例化语句的作用:层次设计,由元件声明和元件例化两部分组成。

(8)EDA数字系统工程设计流程包括:设计准备、设计输入、设计实现、器件编程与配置、设计验证。

(9)CPLD中的逻辑单元是大单元,采用集总总线互连方式;FPGA的逻辑单元是小单元,采用分段式互连方式。

(10) 结构体包括三种描述方法:结构体的行为描述、结构体的数据流描述、结构体的结构化描述。

(11)硬件描述语言HDL给数字系统的设计带来了更新的设计方法和理念,产生了目前最常用的自顶向下的设计方法。

(12)变量赋值语句的语法格式:目标变量名:=表达式,信号赋值语句的语法格式:目标信号名<= 表达式。

(13) 下列标准数据类型各值的含义:‘0’_强0__、‘1’_强1_、‘Z’高阻态、‘L’_弱0__、‘H’__弱1__、‘-’_忽略___。

(14) CPLD是基于乘积项的可编程结构,即由可编程的与阵列和固定的或阵列来完成功能。

而FPGA采用查找表LUT结构的可编程结构。

(15)硬件描述语言(HDL) 是EDA技术的重要组成部分,是电子系统硬件行为描述、结构描述、数据流描述的语言。

它的种类很多,如VHDL 、Verilog HDL 、AHDL 。

(16)VHDL的基本描述语句包括一系列顺序语句和并行语句两大基本描述语句。

EDA技术复习资料(完全版)

EDA技术复习资料(完全版)

EDA技术复习资料一、填空1、EDA设计流程包括设计准备、设计输入、设计处理、和器件编程序四个步骤。

2、EDA的设计验证包括功能仿真、时序仿真和器件测试三个过程。

3、EDA的设计输入主要包括文本输入方式、图形输入方式、和波形输入方式。

4、文本输入是指采用硬件描述语言进行电路设计的方式。

5、功能仿真实在设计输入完成以后,选择具体器件进行编译之前进行的逻辑功能验证,因此又称为前仿真。

6、时序仿真实在选择了具体器件并完成布局、布线之后进行的时序关系仿真,因此又被称为后仿真或延时仿真。

7、当前最流行的并成为IEEE标准的硬件描述语言包括VHDL、和VERILOG HDL。

8、EDA工具大致分为设计输入编辑器、仿真器、HDL综合器、适配器(或布局布线器)、以及下载器等五个模块。

9、IEEE于1987年将VHDL采纳为IEEE#1076标准。

10、用VHDL语言书写的源文件。

即是程序又是文档,即是工程技术人员之间交换信息的文件,又可作为合同签约者之间的文件。

11、用VHDL设计的电路,既可以被高层次的系统调用,成为系统的一部分,也可以作为一个电路的功能快独立存在和独立运行。

12、VDHL设计实体的基本结构由库、程序包、实体、结构体和配臵等部分构成。

13、实体和结构体是设计实体的基本组成部分,它们可以构成最基本的VHDL程序。

14、根据VHDL语法规则,在程序中使用的文字、数据对象、数据类型都需要预先定义。

15、VHDL的实体由实体声明部分和结构体组成。

16、VHDL的实体声明部分制订了设计单元的输入输出端口或引脚,它是设计实体对外的一个通信界面,是外界可以看到的部分。

17、VDHL的结构体用来描述设计实体的逻辑结构和逻辑功能,它由VHDL语句构成,是外界看不到的部分。

18、在VHDL的端口声明语句中,端口方向包括IN、OUT、INOUT和BUFFER。

19、VHDL的数据型文字包括整数文字、实数文字、以数制基数表示的文字和物理量文字。

《EDA技术》复习题-电子版答案2023年修改整理

《EDA技术》复习题-电子版答案2023年修改整理

一、 填空题1、目前国际上较大的PLD器件制造公司有Altera 和Xilinx 公司。

2、当前最流行并成为IEEE标准的硬件描述语言包括VHDL 和V erilog HDL 。

3、高密度可编程逻辑器件HDPLD包括EPLD 、CPLD 和FPGA 。

4、PLD从集成密度上可分为LDPLD 和HDPLD两类,其中HDPLD包括__EPLD_ ____、__ CPLD_____和___FPGA _____三种。

5、EDA设计流程包括设计预备、设计输入、设计处理和器件编程四个步骤。

6、图形文件的扩展名是_.bdf__;QuartusII所建工程的扩展名是_.qpf_;自建元件图形符号文件的扩展名_.bsf__;Verilog HDL所编程序的扩展名为__.v__ 。

7、图形文件的扩展名是 .bdf ;矢量波形文件的扩展名是.vwf ;自建元件图形符号文件的扩展名 .bsf 。

8、可编程逻辑器件的优化过程要紧是对__速度__和___资源___的处理过程即时刻优化和面积优化。

9、EDA设计输入要紧包括__图形输入__、__ 文本输入和__ 波形__输入。

10、设计处理的后来一步是产生可供器件编程使用的数据文件,对CPLD来说是产生熔丝图文件即JEDEC文件,关于FPGA来说是产生位流数据文件Bitstream 。

11、EDA设计输入要紧包括 图形输入 、 文本输入 和 波形输入 。

12、设计优化要紧包括 面积优化 和 速度优化 。

13、Verilog HDL语言的扩展名为 .v 。

14、EDA的中文名称是 电子设计自动化 。

15、EDA仿真分为功能仿真又称前仿真、系统级仿真或行为仿真,用于验证系统的功能;时序仿真又称后仿真、电路级仿真,用于验证系统的时序特性、系统性能。

16、一般把EDA技术的进展分为_ __CAD____、__ CAE_____和___EDA____三个时期。

17、堵塞型赋值符号为= ,非堵塞型赋值符号为<= 。

EDA考试复习题及答案

EDA考试复习题及答案

EDA考试复习题及答案EDA考试复习题及答案EDA考试即将开启序幕,不知道做为考生的你准备好了吗?在此店铺收集了一些复习题,供大家练习之用。

一、填空题(本大题共10小题,每空1分,共20 分)1.一般把EDA技术的发展分为MOS时代、MOS时代和ASIC三个阶段。

2.EDA设计流程包括设计输入、设计实现、实际设计检验和下载编程四个步骤。

3.EDA设计输入主要包括图形输入、HDL文本输入和状态机输入。

4.时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的`时序关系仿真,因此又称为功能仿真。

5.VHDL的数据对象包括变量、常量和信号,它们是用来存放各种类型数据的容器。

6.图形文件设计结束后一定要通过仿真,检查设计文件是否正确。

7.以EDA方式设计实现的电路设计文件,最终可以编程下到FPGA 和CPLD 芯片中,完成硬件设计和验证。

8.MAX+PLUS的文本文件类型是(后缀名).VHD。

9.在PC上利用VHDL进行项目设计,不允许在根目录下进行,必须在根目录为设计建立一个工程目录。

10.VHDL源程序的文件名应与实体名相同,否则无法通过编译。

二、选择题:(本大题共5小题,每小题3分,共15 分)。

11. 在EDA工具中,能完成在目标系统器件上布局布线软件称为(C )A.仿真器B.综合器C.适配器D.下载器12. 在执行MAX+PLUSⅡ的(d )命令,可以精确分析设计电路输入与输出波形间的延时量。

A .Create default symbol B. SimulatorC. CompilerD.Timing Analyzer13.VHDL常用的库是(A )A. IEEEB.STDC. WORKD. PACKAGE14.下面既是并行语句又是串行语句的是( C )A.变量赋值B.信号赋值C.PROCESS语句D.WHEN…ELSE语句15.在VHDL中,用语句(D )表示clock的下降沿。

EDA复习资料_基础知识

EDA复习资料_基础知识

EDA复习基础知识要点1.EDA的概念EDA(电子设计自动化)是现代电子设计技术的核心。

EDA就是依靠功能强大的电子计算机,在EDA工具软件平台上,对以硬件描述语言HDL为系统逻辑描述手段完成的设计文件,自动的完成逻辑编译、化简、分割、综合优化仿真,直至下载到可编程逻辑器件CPLD/FPGA或专用集成电路ASIC芯片中,实现既定的电子线路的功能。

2.EDA的发展阶段CAD是EDA技术发展的早期阶段,此阶段仅仅使用计算机进行辅助绘图工作。

CAE是在CAD的工具逐步完善的基础上发展起来的,它开始用计算机将许多单点工具集成在一起使用。

20世纪90年代电子技术的飞速发展促使现在的EDA技术的形成。

出现了EDA设计的概念,并发展至今天。

3.EDA设计流程①设计准备②设计输入③设计处理④设计校验⑤器件编程⑥器件验证4.设计输入的三种方式①原理图方式②文本输入方式③波形输入方式5.设计处理的步骤①设计编译和检查(信号线有无漏接,信号有无双重来源,关键词有无错误)②优化设计和综合③适配和分割④布局和布线⑤生成编程数据文件6.常用对应的后缀名①原理图文件.bdf②VHDL语言文件.vhd③Verilog HDL文件.v④仿真波形文件.vwf7.可编程逻辑器件的分类①按集成密度分类可编程逻辑器件从集成密度上可分为低密度可编程逻辑器件LDPLD和高密度可编程逻辑器件HDPLD两类。

LDPLD 通常是指早期发展起来的、集成密度小于1000门/片左右的PLD如ROM、PLA、PAL和GAL等。

HDPLD包括可擦除可编程逻辑器件EPLD(Erasable Programmable Logic Device)、复杂可编程逻辑器件CPLD(Complex PLD)和FPGA三种,其集成密度大于1000门/片。

如Altera公司的EPM9560,其密度为12000门/片,Lattice公司的pLSI/ispLSI3320为14000门/片等。

EDA复习资料

EDA复习资料

一、名词术语解释(约20%)第1章概述第2章 EDA设计流程及其工具第3章 FPGA/CPLD结构与应用EDA Electronic Design Automation电子设计自动化;ASIC Application Specific Integrated Circuit专用集成电路;VHDL Very High Speed Integrated Circuit Hardware Description Language 高速集成电路硬件描述语言;SRAM Static Random Access Memory 静态随机存储器;CPLD Complex Programmable Logic Device复杂可编程逻辑器件;HDL Hardware Description Language 硬件描述语言;SOPC System On a Programmable Chip 可编程芯片系统;PROM Programmable Read Only Memory可编程只读存储器;LUT Look Up Table 可编程的查找表;FPGA Field Programmable Gate Array 现场可编辑门阵列IP Intellectual Property 知识产权核;CPU Central Processing Unit 中央处理器SOC System On a Chip 单片电子系统IEEE Institute of Electrical and Electronics Engineers 电机工程师协会CAD CAM CAT CAE Computer Aided Design/Manufacture/Test/Engineering 计算机辅助设计/制造/测试/工程技术PCB Printed Circuit Board 印刷电路板LAB Logic Array Block 逻辑阵列块PGA Programmable Gate Array 可编程门阵列;PLD Programmable Logic Device 可编程逻辑器件PLA Programmable Logic Array 可编程逻辑阵列PAL Programmable Array Logic 可编程阵列逻辑GAL Generic Array Logic 通用阵列逻辑RTL Register Transport Level 寄存器传输级LE/LC Logic Element/Cell 逻辑元二、回答问题(约10%)(1)第5章 VHDL设计进阶 5.3 数据对象(信号与变量的异同点)信号SIGNAL 变量VARIABLE基本用法用于作为电路中的信号连线用于作为进程中局部数据存储单元适用范围在整个结构体内的任何地方都能适用只能在所定义的进程中使用行为特性在进程的最后才对信号赋值立即赋值异:(1)使用和定义范围:前者是实体,结构体和程序包;后者仅限于定义了变量的进程或子程序的顺序语句。

杭电2015-2016年EDA复习课内容

杭电2015-2016年EDA复习课内容

状态机编码
常用状态机编码:
独热码(One hot)、格雷码( Gray )、二进制码 ( Binary )、状态.在CPLD中使用二进制码 c.在简单的顺序的状态机(在CPLD中实现时)可使用 格雷码
系统优化

资源优化
资源共享、逻辑优化、串行化

速度优化
流水线设计、寄存器匹配、关键路径法。。。
重要例题
例3-1,3-2,3-6,3-14 例5-4,5-15,5-16,5-18 例8-11,8-12,8-19,8-23 例10-1,10-4

Good luck!
IP核简介
Intellectual Property—知识产权 软IP 固IP 硬IP
状态机
一般有限状态机的设计 状态机的分析 状态机分类


从信号输出方式上分,状态机分为两种: Moore型状态机:输出信号仅为状态的函数,与输入信 号无关。 Mealy型状态机:输出信号为状态和输入信号的函数。
复 习 课
2015-6
考试题型
一、填空(10分,每空1分) 二、简答题(10分,2题) 三、程序选择填空(20分,2题,每空1分) 四、根据电路图写程序(10分) 五、设计题(10分) 六、阅读程序画RTL图(10分) 七、综合设计题(20分,3题,5+10+5)
名词解释
——写出下列缩写的中文全称 EDA、 ASIC、SOC、SOPC、FPGA、 CPLD、IP
例EDA: ——Electronic Design Automation 电子设计自动化
面向FPGA的EDA开发流程
基于EDA软件的FPGA / CPLD设计流程为:原理图/HDL 文本输入→功能仿真 →综合→适配→时序仿真 →编程下 载→硬件测试。
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

EDA原理及应用复习题重概念,重基础,原理,程序、画图题型:一、问答题二、PLD三、VHDL程序改错四、VHDL程序分析理解程序理解题(画图)、仿真填空。

五、VHDL程序设计电路—〉程序、状态机设计重要知识点:PLD:简单PLD编程原理;查找表原理;FPGA和CPLD的可编程是主要基于什么结构?特点?选用原则。

基于EDA的电子系统设计:设计流程,综合、时序仿真和功能仿真。

VHDL基本语法:标识符、数字表示;程序结构:实体与结构体两部分组合逻辑电路、时序电路、状态机设计并行语句、顺序语句;IF语句、进程语句;数据类型:信号、变量;层次化设计:元件例化、函数、过程;程序包;IP核知识。

VHDL程序改错看懂程序!!进程中的信号、变量区别?运算符重载?问答题写出FPGA,CPLD和LPM的中英文全称。

FPGA-Field Programmable Gate Array. 现场可编程门阵列CPLD-Complex Programmable Logic Device 复杂可编程逻辑器件LPM-Library of Parameterized Modules 参数可设置模块库EDA的中文含义是指电子设计自动化,LPM的中文含义是指参数可定制宏模块库。

EDA、VHDL、FPGA、Synthesis、RTL、LUT、SOPC、SOC、EABVHDL语言是一种结构化设计语言;包括实体与结构体两部分,实体描述器件的外部特性,结构体描述器件的内部功能VHDL设计实体的基本结构由库、程序包、实体、结构体和配置组成;其中,结构体描述器件的内部功能。

在VHDL的端口声明语句中,端口方向包括哪些?VHDL的端口方向包括IN(输入)、OUT(输出)、INOUT(双向)、BUFFER(具有读功能的输出)。

在VHDL的端口声明语句中,用INOUT声明端口为输入输出双向,用OUT声明端口为输出方向。

说明实体端口模式INOUT和BUFFER的不同之处。

INOUT是双向模式,信号是双向的,既可以进入实体,也可以离开实体。

BUFFER是缓冲模式,是具有读功能的输出模式,不允许作为双向端口使用。

EDA的设计输入通常有图形输入、文本输入和波形输入等基于EDA软件的FPGA / CPLD设计流程为:原理图/HDL文本输入→功能仿真→综合→适配→时序仿真→编程下载→硬件测试。

画出Quartus II的设计流程图。

EDA工具大致可以分为设计输入编译器、仿真器、HDL综合器、适配器以及下载器等五个模块。

将硬件描述语言转化为硬件电路的重要工具软件称为HDL综合器。

EDA工具中,将硬件描述语言转化为硬件电路的重要工具软件称为HDL综合器;适配器又称布局布线器,其任务是完成系统在器件上的布局布线。

电子系统设计优化,主要考虑提高资源利用率减少功耗,即面积优化,以及提高运行速度的速度优化。

电子系统设计优化,主要考虑面积优化和速度优化,速度优化的方法主要有:流水线设计、寄存器配平和关键路径法。

IP核在EDA技术和开发中具有十分重要的地位;硬IP为提供设计的最终产品--掩膜;固IP为以网表文件的形式提交用户,完成了综合的功能块;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为软IP。

IP核在EDA技术和开发中具有十分重要的地位;从IP核的提供方式上,通常将其分为软IP、固IP和硬IP;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为软IP。

不完整的IF语句,其综合结果可实现时序逻辑电路。

语句if clk’event and clk = ‘0’ then……描述的是时钟下降沿的检测。

VHDL 中合法的标识符表示?关于VHDL 中的数字,以下数字中最大的一个:①;错误表述的是⑤。

① 2#1111_1110# ② 8#276# ③ 10#170# ④ 16#E#E1 ⑤ 16”01”;进程中的信号赋值语句,其信号更新是在进程的最后完成;变量的更新是按顺序完成。

应用开发中选用FPGA 或CPLD 应考虑哪些因素? 需考虑因素如下:1) 内部结构不一样:CPLD 早出来,是基于乘积式的;FPGA 晚出来,是基于查表式的。

2) FPGA 就需要配置芯片(譬如FLASH),CPLD 不要。

3) FPGA 门电路数量通常比CPLD 多。

4) CPLD 内部延时固定,FPGA 内部延时不固定(在几ns 之间变化)。

5) 两者各有各自发挥的优势:如果用于实现组合逻辑多用CPLD ,用于实现时序逻辑多用FPGA 。

6) 价格、功耗等方面。

简述Mealy 型状态机和Moore 型状态机的主要不同之处。

Moore 型状态机的输出只是当前状态值的函数,并且只在时钟边沿到来时才发生变化。

Mealy 型状态机的输出是当前状态和所有输入信号的函数,它的输出在输入变化后立即发生,不依赖时钟的同步。

波形仿真的目的是什么?如何确定输入波形? 仿真的目的是验证设计和预期是否相符。

输入波形应与实际要求尽可能相同,要验证所有可能的输入状态。

选择合适的周期与脉冲个数。

画出半加器h_adder 的逻辑功能真值表并写出so 、co 的逻辑表达式。

so=b a =a ⊙b ; co=a •b1. 写出下面电路的输出逻辑关系式,并说明该电路完成什么功能?解答:1110100A A F A A A A F =+=该电路完成半加器功能。

2.说明用查找表实现右边的逻辑关系式的原理。

解答:以ABCD 为地址将F 的值写入SRAM 中(如下表),每输入一组ABCD 信号进行逻辑运算就相当于输入一个地址进行查表,找出地址对应的内容输出,在F 端便得到该组输入信号逻辑运算的结果。

VHDL程序分析理解程序理解题(画图)、仿真填空或画出仿真输出波形。

计数器、优先编码器、译码器、移位寄存器的VHDL描述?组合逻辑电路、时序电路、状态机设计六、VHDL程序设计电路—〉程序;状态机设计。

例程:1. 下面程序是一个10线-4线优先编码器的VHDL描述。

LIBRARY IEEE ;USE IEEE.STD_LOGIC_1164.ALL;ENTITY coder ISPORT ( din : IN STD_LOGIC_VECTOR(9 DOWNTO 0);output : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) );END coder;ARCHITECTURE behav OF CODER ISSIGNAL SIN : STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS (DIN)BEGINIF (din(9)='0') THEN SIN <= "1001" ;ELSIF (din(8)=‟0‟) THEN SIN <= "1000" ;ELSIF (din(7)='0') THEN SIN <= "0111" ;ELSIF (din(6)='0') THEN SIN <= "0110" ;ELSIF (din(5)='0') THEN SIN <= "0101" ;ELSIF (din(4)='0') THEN SIN <= "0100" ;ELSIF (din(3)='0') THEN SIN <= "0011" ;ELSIF (din(2)='0') THEN SIN <= "0010" ;ELSIF (din(1)='0') THEN SIN <= "0001" ;ELSE SIN <= “0000” ;END IF;END PROCESS ;Output <= sin ;END behav;2. 同步递增计数器程序。

LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY Exe_8 ISPORT ( CLK: IN STD_LOGIC;Q: BUFFER STD_LOGIC_VECTOR (7 DOWNTO 0)); END Exe_8;ARCHITECTURE a OF Exe_8 ISBEGINPROCESS(CLK)V ARIABLE QTEMP : STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINIF CLK'EVENT AND CLK='1' THENQTEMP:=QTEMP+1;END IF;Q<=QTEMP;END PROCESS;END a;3. 运用VHDL设计带有时钟使能功能的10进制计数器的程序代码。

LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT10 ISPORT( CLK, EN: IN STD_LOGIC;CQ: OUT STD_LOGIC_VECTOR(3 DOWNTO 0);COUT: OUT STD_LOGIC );END CNT10;ARCHITECTURE behav OF CNT10 ISBEGINPROCESS(CLK, EN)V ARIABLE CQI: STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINIF EN = '1' THENIF CLK'EVENT AND CLK ='1' THENIF CQI<"1001" THEN CQI:=CQI+1;ELSE CQI:=(OTHERS=>'0');ENDIF;ENDIF;ENDIF;IF CQI="1001" THEN COUT<='1';ELSE COUT<='0';ENDIF;CQ<=CQI;END PROCESS;END behav;4. 以下程序是一个BCD码表示0~99计数器的VHDL描述,试补充完整。

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all ;entity cnt100b isport( clk, rst, en : in std_logic;cq : out std_logic_vector(7 downto 0); -- 计数输出cout: out std_logic); -- 进位输出end entity cnt100b;architecture bhv of cnt100b isbeginprocess (clk, rst, en)variable cqi : std_logic_vector(7 downto 0);beginif rst = '1' thencqi := (others => …0‟) ; -- 计数器清零复位elseif clk‟event and clk = …1‟ then -- 上升沿判断if en = '1' thenif cqi(3 downto 0) < "1001" then -- 比较低4位cqi := cqi + 1 ; -- 计数加1elseif cqi(7 downto 4) < "1001" then -- 比较高4位cqi := cqi + 16;elsecqi := (others => '0');end if;cqi (3 downto 0) := “0000”; -- 低4位清零end if;end if;end if ;end if;if cqi = “10011001” then -- 判断进位输出cout <= '1';elsecout <= '0';end if;cq <= cqi ;end process;end architecture bhv;5. 仔细阅读下列程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY MOORE1 ISPORT ( DATAIN : IN STD_LOGIC_VECTOR(1 DOWNTO 0);CLK, RST: IN STD_LOGIC;Q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) );END MOORE1;ARCHITECTURE BEHAV OF MOORE1 ISTYPE ST_TYPE IS (ST0, ST1, ST2, ST3, ST4);SIGNAL C_ST : ST_TYPE;BEGINPROCESS (CLK, RST)BEGINIF RST = '1' THEN C_ST <= ST0; Q <= "0000";ELSIF CLK'EVENT AND CLK = '1' THENCASE C_ST ISWHEN ST0 => IF DATAIN = "10" THEN C_ST <= ST1;ELSE C_ST <= ST0;END IF; Q <= "1001";WHEN ST1 => IF DATAIN = "11" THEN C_ST <= ST2;ELSE C_ST <= ST1;END IF; Q <= "0101";WHEN ST2 => IF DATAIN = "01" THEN C_ST <= ST3;ELSE C_ST <= ST0;END IF; Q <= "1100";WHEN ST3 => IF DATAIN = "00" THEN C_ST <= ST4;ELSE C_ST <= ST2;END IF; Q <= "0010";WHEN ST4 => IF DATAIN = "11" THEN C_ST <= ST0;ELSE C_ST <= ST3;END IF; Q <= "1001";when others => c_st <= st0;END CASE;END IF;END PROCESS;END BEHA V;6. 试描述一个带进位输入、输出的8位全加器端口:A、B为加数,CIN为进位输入,S为加和,COUT为进位输出LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY ADDER8 ISPORT (A, B : IN STD_LOGIC_VECTOR (7 DOWNTO 0);CIN : IN STD_LOGIC;COUT : OUT STD_LOGIC;S : OUT STD_LOGIC_VECTOR (7 DOWNTO 0) );END ADDER8;ARCHITECTURE ONE OF ADDER8 ISSIGNAL TS : STD_LOGIC_VECTOR (8 DOWNTO 0);BEGINTS <= (…0‟ & A) + (…0‟ & B) + CIN;S <= TS(7 DOWNTO 0);COUT <= TS(8);END ONE;7. 根据原理图,写出相应VHDL描述LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY three ISPORT(clk,d : IN STD_LOGIC;dout : OUT STD_LOGIC );END;ARCHITECTURE bhv OF three ISSIGNAL tmp: STD_LOGIC;BEGINP1: PROCESS(clk)BEGINIF rising_edge(clk) THENTmp <= d;dout <= tmp;END IF;END PROCESS P1;END bhv;8. 看下面原理图,写出相应VHDL描述LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY MYCIR ISPORT (A, CLK : IN STD_LOGIC;C, B : OUT STD_LOGIC );END MYCIR;ARCHITECTURE BEHAV OF MYCIR ISSIGNAL TA : STD_LOGIC;BEGINPROCESS (A, CLK)BEGINIF CLK‟EVENT AND CLK = …1‟ THENTA <= A;B <= TA;C <= A AND TA;END IF;END PROCESS;END BEHA V;2. 已知状态机状态图如图a所示,写出对应于结构图b,分别由主控组合进程和主控时序进程组成的VHDL 有限状态机描述。

相关文档
最新文档