21EDA-Altera-FPGA开发板使用入门

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

在自己做这个实验的时候,需要确认自己的开发软件是否已经安装好了,确认自己的下载器是否已经可以使用了。

如果还不熟悉的,可以看看下面两个文件

QuartusII 9.0破解全过程.pdf

下载器的安装.pdf

实验要求:

PC电脑一台,配置越高越好。

开发软件:QuartusII9.0SP2

开发板型号:A-C8V4

实验结果:学习Altera公司的FPGA开发软件QuartusII入门使用。

首先打开QuartusII9.0SP2的开发软件。你有三种方法可以打开。第一:如下图,桌面上有快捷图标。双击就可以了。

第二:如下图,在开始菜单里面可以找到。

第三:如下图,在开始菜单里面也可以找到。

如下图:打开QII软件了

如下图:我们可以把这个关闭了。

如下图:新建一个工程File->New Project Wizrd….

如下图:点击Next

如下图:这里我们要设置工程路径,工程名和顶层名。点第一排三个点点那里

如下图:我们把工程路径放在J盘下面FPGA_TEST文件夹;J:\FPGA_TEST

设置好了,点击Next

如下图:直接点击Next

如下图:这里我们需要对CPU进行设置,也就是设置成和我们开发板对应的芯片。Family 要选择Cyclone II

如下图:

如下图:选择芯片的封装,PQFP

如下图:

如下图:选择芯片的管教数目,我们这里是208个脚

如下图:

如下图:选择速度等级,我们这里选择8

如下图:

如下图:选择我们最后设置好的芯片。然后点击Next

如下图:然后点击Next

如下图:然后点击Finish

如下图:

如下图:然后点击Next

如下图:点击File->New 新建一个我们用来编写程序的窗口。

如下图:如果你使用Verilog语言,就选择Verilog HDL File 然后点击OK

如下图:如果你使用VHDL语言,就选择VHDL File 然后点击OK 我们这里用VHDL作为演示

如下图:会在右边出现程序编写的空白窗口。

如下图:假设我们已经编写了程序

A-C8V4-开发板资料A\A-C8V4开发板资料\A-C8V4开发板-整理的VHDL程序\ 15实验十五:LED流水灯A (用这个里面的程序)

如下图:我们的程序编写了,要保存File -> Save

如下图:

如下图:保存了之后,就要编译,

如下图:我们编译的时候出错了。

如下图:说明顶层名和实体名字不一样,这个是初学者容易忘记的东西,这要注意哦

下面我们来修改。

如下图:实体名不对,高亮显示的

如下图:实体名我们改成LED_TEST,高亮显示的

如下图:实体名不对,高亮显示的

如下图:实体名我们改成LED_TEST,高亮显示的

如下图:还有结构体那里,高亮显示的

好了,我们该了之后需要保存如下图:

保存了之后,还要编译一次,如下图

编译完了有警告,警告可以不管他,说明我们这个程序还不够完美。

如下图:是整个程序用的资源。

如下图:现在我们来分配I/O口

如下图:这里是我们还没有分配的时候

如果你对I/O的分配对应关系还不熟悉,可以看一下I/O的对应PDF文件。

如下图:这个我们不用管他

如下图:我们这里的时钟是分配的23脚。(50MHz输入)

其它发光二极管的分配方法一样的。

分配好了管脚,就要编译下,如下图:

编译完了,我们就来下载了,如下图:

模式选择JTAG模式,我们这里用的USB下载器。当然你用并口的也是可以的。

如下图:有的朋友可能会问了。为什么我下载进去蜂鸣器也在叫,数码管全部显示8了

你不要着急,有个地方设置一下。下面一步一步来。

我们要修改一下,如下图,把它设置成三态输入。

如下图点击确定。

如下图点击确定。

在编译一下如下图

编译完了,在下载

现在就不会出现蜂鸣器也在叫,数码管全部显示8了你就可以看到LED灯流水大灯的效果了。

相关文档
最新文档