数电课后习题第五章答案

合集下载

《数字电子技术基础》第五章习题(阎石主编,第四版)

《数字电子技术基础》第五章习题(阎石主编,第四版)

页眉内容[题5.1] 分析图P5.1时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,说明电路能否自启动。

答案:11322131233;J K Q J K Q J Q Q K Q⎧==⎪==⎨⎪==⎩3Q =电路能自启动。

状态转换图如图A5.1。

[题5.7] 在图P5.7电路中,若两个移位寄存器中的原始数据分别为A 3 A 2 A 1 A 0=1001,B 3 B 2 B 1 B 0=0011,试问经过4个CP 信号作用以后两个寄存器中的数据如何?这个电路完成什么功能?答案:经过四个时钟信号作用以后,两个寄存器里的数据分别为:A 3 A 2 A 1 A 0=1100,B 3B 2 B 1 B 0=0000。

这是一个四位串行加法计数器。

[题5.8] 分析图P5.8的计数器电路,说明这是多少进制的计数器。

十进制计数器74160的功能表见表5.3.4。

答案:图P5.8电路为七进制计数器。

[题5.9] 分析图P5.9的计数器电路,画出电路的状态转换图,说明这是多少进制的计数器。

十六进制计数器74LS161的功能表见表5.3.4。

答案:电路的状态转换图如图A5.9。

这是一个十进制计数器。

[题5.10] 试用4位同步二进制计数器74LS161接成十二进制计数器,标出输入、输出端。

可以附加必要的门电路。

74LS161的功能表见表5.3.4。

答案:见图A5.10[题5.11] 试分析图P5.11的计数器在M=1和M=0时各为几进制。

74160的功能表见表5.3.4。

答案:M=1时为六进制计数器,M=0时为八进制计数器。

[题5.16] 图P5.16电路是由两片同步十进制计数器74160组成的计数器,试分析这是多少进制的计数器,两片之间是几进制。

74160的功能表见表5.3.4。

答案:第(1)片74160接成十进制计数器,第(1)片74160接成三进制计数器。

第(1)片到第(2)片之间为十进制,两片串接组成三十进制计数器。

数电第五章习题答案 .doc

数电第五章习题答案 .doc

自我检查题5.1 时序电路和组合电路的根本区别是什么?同步时序电路与异步时序电路有何不同?解答:从功能上看,时序电路任何时刻的稳态输出不仅和该时刻的输入相关,而且还决定于该时刻电路的状态,从电路结构上讲,时序电路一定含有记忆和表示电路状态的存储器。

而组合电路任何时刻的稳态输出只决定于该时刻各个输入信号的取值,由常用门电路组成则是其电路结构的特点。

在同步时序电路中,各个触发器的时钟信号是相同的,都是输入CP 脉冲,异步时序电路则不同,其中有的触发器的时钟信号是输入cp 脉冲,有的则是其他触发器的输出,前者触发器的状态更新时同步的,后者触发器状态更新有先有后,是异步的。

5.2 画出图T5.2所示电路的状态和时序图,并简述其功能。

图T5.2解:(1)写方程式 驱动方程 nQ K J 200==n Q K J 011==n n Q Q J 012=, n Q K 22=输出方程:nQ Y 2= (2) 求状态方程nn n n n n n n n n n Q Q Q Q Q Q Q Q Q K Q J Q 02020202000010+=+=+=+ n n n n n n n n n n n Q Q Q Q Q Q Q Q Q K Q J Q 01011010111111+=+=+=+ n n n n n n n n n n n Q Q Q Q Q Q Q Q Q K Q J Q 01222201222212=+=+=+(3)画状态图和时序图 状态图如下图所示:101时序图如下图所示:CP Q 0Q 1Q 25.3 试用边沿JK 触发器和门电路设计一个按自然态序进行计数的七进制同步加法计数器。

解:(1)状态图如下图:(2)求状态方程、输出方程CQ Q Q n n n /101112+++的卡诺图如下图所示:输出方程为nn Q Q C 12=状态方程:n n n n n Q Q Q Q Q 120112+=+ n n n n n n Q Q Q Q Q Q 0120111+=+ n n n n n Q Q Q Q Q 120110+=+驱动方程:n n n n n n n n n n n n n n n Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q 0122120121220112)(++=++=+n n n n n n Q Q Q Q Q Q 1021011+=+n n n n n Q Q Q Q Q 0012101)(++=+与JK 触发器的特性方程 比较,可以得到驱动方程 n n Q Q J 012= 、 n Q K 12=n Q J 01= 、n n Q Q K 021=n n n n Q Q Q Q J 12120=+= 10=K(4) 无效状态转换情况 111/1000 能自启动(5) 逻辑图如下图所示:5.4 画出用时钟脉冲上升沿触发的边沿D 触发器组成的4位二进制异步加法计数器和减法计数器的逻辑电路图。

万里学院数字电子技术第五章习题和参考答案

万里学院数字电子技术第五章习题和参考答案

第五章习题1.题图5-1所示电路是用两片555组成的脉冲发生器,试画出Y 1和Y 2两处的输出波形,并标注要紧参数(参数只需估算)。

R 1C 133kR 233k 10题图5-12.题图5-2所示的555按时器组成的单稳态触发器及输入v I 的波形,求: (1)输出信号v O 的脉冲宽度T W ;(2)对应v I 画出v C 、v O 的波形,并标明波形幅度。

v I /V CC /3v Iv O题图5-23.由555按时器组成的多谐振荡器如图5-3所示,已知V DD =12V 、C =μF、R 1=15k Ω、R 2=22k Ω。

试求:(1)多谐振荡器的振荡周期;(2)画出的v C 和v O 波形。

v O /Vv C /V00tR Cv v OR题图5-34.由555按时器、3位二进制加计数器、理想运算放大器A 组成如题图5-4所示电路。

设计数器初始状态为000,且输出低电平V OL =0 V ,输出高电平V OH = V ,R d 为异步清零端,高电平有效。

(1)说明虚框(1)、(2)部份各组成什么功能电路?(2)虚框(3)组成几进制计器? (3)对应CP 画出v O 波形,并标出电压值。

题图5-45.用集成芯片555组成的施密特触发器电路及输入波形i v 如题图5-5所示,要求: (1)求出该施密特触发器的阈值电压V T +、V T -;(2)画出输出v o 的波形。

v I /V tv O /Vv v O题图5-56.用集成按时器555组成的电路及可产生的波形如题图5-6(a )、(b )所示,试回答: (1)该电路的名称;(2)指出(b )图中v C 波形是1~8引脚中,哪个引脚上的电压波形; (3)求出矩形波的宽度t W 。

v Iv O 0.3v v(a ) (b )题图5-67.题图5-7为简易门铃电路,设电路中元器件参数适合,R >>R 1,S 为门铃按钮,当按钮按一下放开后,门铃可响一段时刻。

《数电》教材习题答案 第5章习题答案

《数电》教材习题答案 第5章习题答案

思考题与习题5-1 在如图5-1所示的四位移位寄存器中,假定开始时Q3Q2Q1Q0为1101状态。

若串行输入序列101101与CP脉冲同步地加在D SR串行输入端时,请对应画出各触发器Q 3Q2Q1Q端的输出波形。

图T5-15-2 图T5-2电路中各触发器的初始状态均为0,请对应输入CP和IN的波形,画各触发器Q端的输出波形。

图T5-25-3 试用两片74LS194电路构成一个八位移位寄存器,并画出逻辑电路图。

5-4 请用上升沿触发的D触发器构成一个异步三位二进制加法计数器。

并对应CP画出Q1、Q2、Q3的波形。

图T5-45-5 请用JK 触发器构成一个脉冲反馈式异步六进制加法计数器,并画出对应于CP 脉冲的工作波形。

图T5-5用三位JK 触发器构成八进制计数器,然后在状态110时利用与非门反馈至清零端构成六进制计数器,图略。

5-6请分析如图T5-6所示的阻塞反馈式异步计数器电路的逻辑功能,指出该计数器为几进制,并画出计数状态转换图。

图T5-6解:(1)驱动方程:J I =3Q ,K 1=1; J 2=1,K 2=1;J 3=nQ n Q 21,K 3=1;代入得状态方程: (CP 脉冲下降沿时刻)(Q 1下降沿时刻) (CP 脉冲下降沿时刻)列出状态转换图(略)分析得出该计数器为5进制计数器,状态从000-100,其它的三个状态下一状态均为000,因此该电路是异步五进制计数器,具有自启动功能。

5-7 分析图T5-7同步计数器电路的逻辑功能。

图T5-7nn n n n Q K ,Q J Q K ,Q J Q K ,J 232312323111====== n Q n Q Q n 1311=+n Q Q n 221=+n Q n Q n Q Q n 31231=+nn n nn n nn n n n n n n n n n Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q 23232132123123113111=⋅+⋅=⋅+⋅=+=⋅+=+++n n n Q Q Q 123 111213+++n n n Q Q Q0 0 0 0 0 1 0 0 1 0 0 0 0 1 0 1 0 1 0 1 1 1 1 0 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 1 0 1 1 1 1 1 1 1因为该计数器设计了清零端,因此可实现从000开始进入循环圈的2进制计数器的功能,但我们也发现,它也可以实现三进制。

数字电子技术黄瑞祥 第五章习题答案

数字电子技术黄瑞祥 第五章习题答案

第五章习题答案5-1分析题5-1图所示电路,画出时序图和状态图,起始状态Q0Q1Q2Q3=0001。

解CP Q0 Q1Q2Q30 0 0 0 11 1 0 0 02 0 1 0 03 0 0 1 04 0 0 0 1 时序图:CPQ0Q1Q2Q35-2分析题5-2图所示电路,画出电路的状态图。

解CP Q0 Q1 Q20 0 0 01 1 0 02 0 1 03 0 0 14 0 0 05-3 JK触发器组成5-3图所示电路。

分析该电路为几进制计数器,并画出电路的状态图。

CP Q1 Q2Q30 0 0 01 1 0 02 0 1 03 1 1 04 0 0 15 0 0 0 该电路为五进制计数器5-4JK触发器促成如图5-4图所示的电路。

(1)分析该电路为几进制计数器,画出状态图。

(2)若令K3= 1,电路为几进制计数器,画出其状态图。

解:(1CP Q1 Q2Q30 1 2 3 4 5 6 7 0 0 01 0 00 1 01 1 00 0 11 0 1 0 1 1 0 0 0为7进制计数器CP Q1 Q2Q30 1 2 3 4 5 0 0 01 0 00 1 01 1 00 0 11 0 0为4进制计数器5-5 试画出题5-5图(a)所示电路中B,C端的波形。

输入端A,CP波形如题5-5图(b)所示,触发器的起始状态为零。

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19CPAQ0Q1BC5-6分析题5-6图所示电路,画出电路的状态图,说明电路能否自启动。

CP Q1 Q2Q3Z0 1 2 3 4 5 6 7 0 1 0 0 0 01 0 1 0 1 1 1 00 1 1 11 0 0 0 1 1 0 00 1 0 01 0 1 00 0 1 01 0 0 0该电路能够自启动5-7 分析题5-7图所示电路,画出电路的状态图,说明电路能否自启动。

CP Q4 Q3Q2Q11234 567111111111 0 0 0 00 0 0 11 0 0 11 1 0 11 1 1 00 1 1 11 0 1 11 1 0 10 0 1 00 0 0 10 0 1 11 0 0 10 1 0 00 0 1 10 1 0 11 0 1 10 1 1 00 0 1 11 0 0 00 1 0 11 0 1 00 1 0 11 1 0 00 1 1 11 1 1 1 1 1 1 0由状态图可见,电路图能够自启动5-8画出题5-8图所示电路的状态图和时序图,简要说明电路的基本功能。

VHDL数字电路设计教程第5章习题参考答案

VHDL数字电路设计教程第5章习题参考答案

第5章习题参考答案Problem 5.1library ieee;use ieee.std_logic_1164.all;package my_data_type isconstant m: integer :=8;type vector_array is array (natural range<>) ofstd_logic_vector(m-1 downto 0);end my_data_type;library ieee;use ieee.std_logic_1164.all;use work.my_data_type.all;entity n_mux isgeneric (n: integer :=8);port( datain: in vector_array(0 to n-1) ;sel: in integer range 0 to n-1;dataout: out std_logic_vector( m-1 downto 0)); end;architecture bhv of n_mux isbegindataout<=datain(sel);end;Problem 5.2方法一:利用简单赋值语句设计library ieee;use ieee.std_logic_1164.all;entity priority_encoder isport(x:in std_logic_vector(7 downto 1);y:out std_logic_vector(2 downto 0));end;architecture bhv of priority_encoder isbeginy(2)<=x(7) or x(6) or x(5) or x(4);y(1)<=x(7) or x(6) or (( not x(5) and not x(4)) and (x(3) or x(2)));y(0)<=x(7) or (not x(6) and (x(5) or (not x(4) and (x(3) or (not x(2) and x(1))))));end;方法二:利用WHEN语句设计library ieee;use ieee.std_logic_1164.all;entity priority_encoder isport(x:in std_logic_vector(7 downto 1);y:out std_logic_vector(2 downto 0));end;architecture bhv of priority_encoder isbeginy<="111" when x(7)='1' else"110" when x(6)='1' else"101" when x(5)='1' else"100" when x(4)='1' else"011" when x(3)='1' else"010" when x(2)='1' else"001" when x(1)='1' else"000";end;Problem 5.4library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity adder8 isport(a,b:in std_logic_vector(7 downto 0);cin:in std_logic;sum:out std_logic_vector(7 downto 0);cout:out std_logic);end;architecture bhv of adder8 issignal a0,b0,cin0, s:std_logic_vector(8 downto 0); begina0<='0'&a; b0<='0'&b; cin0<="00000000"&cin; s<=a0+b0+cin0;sum<=s(7 downto 0);cout<=s(8);end;Problem5.5library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_signed.all;entity add_sub isport(a,b:in unsigned(7 downto 0);sel:in bit_vector(1 downto 0);sum:out std_logic_vector(8 downto 0)); end;architecture bhv of add_sub issignal temp1,temp2:unsigned (8 downto 0); signal temp3,temp4:signed(8 downto 0);--signal an,as,sn,ss:std_logic_vector(8 downto 0);signal a0,b0:signed (7 downto 0);signal cin0:std_logic_vector(7 downto 0);begina0<=conv_signed(a,8);b0<=conv_signed(b,8);temp1<=conv_unsigned((a+b),9);temp2<=conv_unsigned((a-b),9);temp3<=conv_signed((a0+b0),9);temp4<=conv_signed((a0-b0),9);sum<=conv_std_logic_vector(temp1,9)when sel="00" else conv_std_logic_vector(temp3,9)when sel="01" elseconv_std_logic_vector(temp2,9)when sel="10" elseconv_std_logic_vector(temp4,9);end;Problem 5.6library ieee;use ieee.std_logic_1164.all;entity gray_encoder isgeneric(n: integer:=4) ;port(input:in std_logic_vector(n-1 downto 0);output:out std_logic_vector(n-1 downto 0));end;architecture bhv of gray_encoder isbeginoutput(n-1)<=input(n-1);output(n-2 downto 0)<=input(n-2 downto 0) xor input(n-1 downto 1); end;Problem 5.7(将原题修改后的作业题,要求能够实现连续移位,当shift 信号为0时,保持不变,否则每次左移移位,最低位补0,直到全0为止。

数字电子技术第5章习题解答

数字电子技术第5章习题解答
第5个CLK的上升沿产生后,从触发器的控制门被封锁,从触发器的基本RS触发器的交叉耦合作用,使输出状态不能确定(即可能是0状态、也可能是1状态,图5-12中用虚线表示)。
第5个CLK的下降沿产生后,触发器的状态随主触发器的状态而改变,即1状态。据此,可画出波形图如图5-12所示。
5-10带异步输入的脉冲触发的SR触发器中,各输入端的信号波形如图5-13所示,试画出Q、Q'端对应的波形。异步输入信号SD=0。
(3)第3个高电平期间,S=1,R=0,Q=1,Q’=0。
(4)第4个高电平期间,S=1,R=1,输出为11态,随后,S=0,R=1,Q=0,Q’=1。
(5)第5个高电平期间,S=0,R=0,输出保持;随后,S=1,R=0,Q=1,Q’=0;接着,S=0,R=0,输出保持;最后,S=0,R=1,Q=0,Q’=1。
图5-6
5-7已知电平触发的D触发器,若CLK、D的电压波形如图5-7所示,试画出Q和Q’端对应的电压波形。设触发器的初始状态为Q=0。
解:触发器为下降沿触发,标出每个时钟信号的下降沿。触发器初始状态为0。
(1)第1个下降沿,D=1,Q=1,Q’=0。
(2)第2个下降沿,D=0,Q=0,Q’=1。
(3)第3个下降沿,D=1,Q=1,Q’=0。
5-3.试问电平触发方式的触发器能构成具有翻转功能的触发器吗?为什么?
解:不能。电平触发方式的触发器,在整个电平有效期间内,均可以接收信号建立状态,因此,若构成具有翻转功能的触发器,将会在整个电平有效期间内不断地接收信号实现翻转,会出现空翻现象。
5-4.已知基本RS触发器电路中,输入信号端RD’和SD’的电压波形如图5-1所示,试画出图示电路的输出端Q和Q’端的电压波形。

数字电子技术题目第五章数字电子技术答案

数字电子技术题目第五章数字电子技术答案

数字电子技术题目第五章数字电子技术答案时序逻辑电路一.填空题1. 一个四位右移寄存器初态为0000,输入二进制数为D3D2D1D0=1011,经过个CP脉冲后寄存器状态变为Q3Q2Q1Q0=1100。

2. 某计数器的状态转换图如图1所示,该计数器是进制法计数器。

3. 数字电路按照是否有记忆功能通常可分为两类:、。

4. 一个四位右移移位寄存器初态为0000,输入二进制数为D3D2D1D0=1101,经过个CP脉冲后寄存器状态变为Q3Q2Q1Q0=1010。

5. 某计数器的状态转换图如图1所示,该计数器是进制法计数器。

6. 某计数器的状态转换图如图3所示,该计数器是进制法计数器。

7.时序逻辑电路根据其有无统一的时钟信号分为和__________________________两类。

二.选择题1. 同步时序逻辑电路和异步时序逻辑电路比较,其差别在于前者()。

A.有触发器B. 有统一的时钟脉冲控制C. 有稳定状态D. 输出只与内部状态有关2. 在下列逻辑电路中,为时序逻辑电路的是()。

A.译码器B.编码器C.全加器D.计数器3. 要构成一个六进制计数器,至少需要()个触发器。

A. 3B.2C.6D.8 4. 用触发器设计一个同步12进制的计数器所需要的触发器的数目是()。

A. 2B.3C.4D. 5 5. 在下列逻辑电路中,是时序逻辑电路的是()。

A. 译码器B. 数据分配器C. 全加器D. 寄存器6. 同步计数器是指( )的计数器。

A. 由同类型的触发器构成B. 各触发器时钟端连在一起,统一由系统时钟控制C. 可用前级的输出做后级触发器的时钟D. 可用后级的输出做前级触发器的时钟三.简答题计算题1. 分析图6所示时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,并说明该电路能否自启动。

2. 试利用同步计数器74LVC161设计七进制计数器。

要求:采用置数法,写出设计过程,画出连接图。

北京理工大学《数字电路-分析与设计》数电习题答案

北京理工大学《数字电路-分析与设计》数电习题答案

第五章习题5-1 图题5-1所示为由或非门组成的基本R-S 锁存器。

试分析该电路,即写出它的状态转换表、状态转换方程、状态图、驱动转换表和驱动方程,并画出它的逻辑符号,说明S 、R 是高有效还是低有效。

解:状态转换表:状态转换驱动表5-2 试写出主从式R-S 触发器的状态转换表、状态转换方程、状态图、驱动转换表和驱动方程,注意约束条件。

解:与R-S 锁存器类似,但翻转时刻不同。

5-3 试画出图5.3.1所示D 型锁存器的时序图。

解:G=0时保持,G=1时Q=D 。

图题5-1 或非门组成的基本R-S 锁存器S R状态转换方程:Q n+1Q n+1=S+RQ n状态转换图: S =Q n+1R=Q n+1 状态转换驱动方程: 逻辑符号: 输入高有效 G D Q图题5-3 D 型锁存器的时序图5-4试用各种描述方法描述D锁存器:状态转换表、状态转换方程、时序图、状态转换驱动表、驱动方程和状态转换图。

5-5锁存器与触发器有何异同?5-6试描述主从式RS触发器,即画出其功能转换表,写出状态方程,画出状态表,画出逻辑符号。

5-7试描述JK、D、T和T'触发器的功能,即画出它们的逻辑符号、状态转换表、状态转换图,时序图,状态转换驱动表,写出它们的状态方程。

5-8试分析图5.7.1(a) 所示电路中虚线内电路Q’与输入之间的关系。

5-9试分析图5.7.1(b)所示电路的功能,并画出其功能表。

5-10试用状态方程法完成下列触发器功能转换:JK→D, D→T, T→D, JK→T, JK→T’, D→T’。

解:JK→D:Q n+1=JQ+KQ,D:Q n+1=D=DQ+DQ。

令两个状态方程相等:D=DQ+DQ =JQ+KQ。

对比Q、Q的系数有:J=D,K=D逻辑图略。

5-11试用驱动表法完成下列触发器功能转换:JK→D, D→T, T→D, JK→T, JK→T’, D→T’。

解:略。

5-12用一个T触发器和一个2-1多路选择器构成一个JK触发器。

数电第五版(阎石)第五章课后习题与答案

数电第五版(阎石)第五章课后习题与答案

【题5.9】 若主从结构SR触发器的CLK,S,R, 各输入端电压波 形如图P5.9所示, =1,试画出Q,Q’ 端对应的电压波形。
解:根据SR触发器逻辑功能的定义及脉冲触发方式的动作特 点,即可画出Q,Q’的电压波形,如图A5.9所示。
【题5.11】已知脉冲触发JK触发器输入端J,K和CLK的电压波 形如图P5.11所示,试画出Q,Q’端对应的电压波形。设触发器 的初始状态为Q=0.
解:根据SR触发器逻辑功能的定义和脉冲触发方式的动作特 点(主从结构触发器属于脉冲触发方式),即可画出如图 A5.7所示的输出电压波形图。
【题5.8】 在脉冲触发SR触发器电路中,若S,R,CLK 端的电压 波形如图P5.8所示,试画出Q,Q’端对应的电压波形。假定触 发器的初始状态为Q=0.
解:根据SR触发器逻辑功能的定义及脉冲触发方式的动作特 点,即可画出图A5.8中Q和Q’的电压波形。
【题5.14】已知维持阻塞结构D触发器各输入端的电 压波形如图P5.14所示,试画出Q,Q’端对应的电压波形。
解:根据D触发器逻辑功能的定义及维持阻塞结构所具有的 边沿触发方式,即可画出Q和Q’的电压波形如图A5.14。
【题5.15】已知CMOS边沿触发方式JK触发器各输入端 的电压波形如图P5.15所示,试画出Q,Q式的动作特 点,画出的Q,Q’ 端电压波形如图A5.15。
【题5.18】设图P5.18中各触发器的初始状态皆为Q=0,试画 出在CLK信号连续作用下各触发器输出端的电压波形
解:根据每个触发器的逻辑功能和触发方式,画出输出端Q 的电压波形,如图A5.18。
解:见图A5.4.
【题5.5】 在图P5.5电路中,若CLK,S,R的电压波形如图中所 示,试画出Q和Q’端与之对应的电压波形。假定触发器的初 始状态为Q=0.

数字电子技术基础第五章、第六章习题参考答案

数字电子技术基础第五章、第六章习题参考答案

第五章锁存器和触发器1、Q n 1二S RQ n, SR = O2、Q n, 03、324、TCP J I I I I I I I7、4-13题解图8、D= A 二BCP_ I~I I~I I~I I~I I~LI Iz卄I TH 1D i - I i i1 . I | , __ L,I ■ I ______第六章时序逻辑电路1、 输入信号,原来的状态2、 异3、 n 5、反馈清零、反馈置数扌-6、N乂—LJ UU 仑厂 II ~ 7、状态方程和输出方程:㈣ =A®Q'tZ^AQ&激励方程A =Kq = A &/. =e 0=i 状态方程0:戚;忧"无©土死输出方程Z=AQ1Q0根据状态方程组和输出方程可列出状态表,如表题解6 . 2 . 4所示,状态图如图题解2. 4 所示。

Q - M?; + M V ;* Q ; = + “:14、图题解6.2.4Q;・枫"烟00保持,01右移10左移11并行输入当启动信号端输人一低电平时,使S仁1 ,这时有So= Sl= 1 ,移位寄存器74HC194执行并行输人功能,Q3Q2Q1Q0 = D3D2D1D0 = 1110。

启动信号撤消后,由于Q°= 0,经两级与非门后,使S仁0 ,这时有S1S0= 01 ,寄存器开始执行右移操作。

在移位过程中,因为Q3Q2、Q1、Q0中总有一个为0,因而能够维持S1S0=01状态,使右移操作持续进行下去°其移位情况如图题解6, 5, 1所示。

该电路能按固定的时序输出低电平脉冲,是一个四相时序脉冲产生电路。

-JT AAA TL幺I15、状态方程为儿⑷儿個)X(O24、解:74HC194功能由S1S0控制。

数电课后习题第五章答案

数电课后习题第五章答案

本章习题5.1分析图题4.1a 电路的逻辑功能,列出逻辑功能表,画出R、S 输入图b 信号时的输出波形。

题5.1 逻辑功能表解: 见题5.1 逻辑功能表和波形图。

5.2画出图题5.2各触发器在时钟脉冲作用下的输出波形。

(初态为“0”) 解:波形见题5.2图。

5.3 画出图题4.3中各不同触发方式的D 触发器在输入信号作用下的输出波形 (初态为0)。

Q n S R Q n+1 Q —n+1 功能0 1 0 1 0 置位1 1 0 1 0 置位00 1 0 1 复位10 1 0 1 复位00 0 0 1 保持10 0 1 0 保持0 1 1 1 1 非法11111非法解:波形见题5.3图。

5.4 图题5.4a由CMOS或非门和传输门组成的触发器,分析电路工作原理,说明触发器类型。

如果用两个图a的电路构成图b电路,说明图b电路是什么性质的触发器。

解:图a为同步D触发器,CP为使能控制,低电平有效。

当CP=“0”时,TG1通、TG2断,触发器根据D信号改变状态;当CP=“1”时,TG1断、TG2通,触发器状态保持。

逻辑符号如图5.2a。

图b为主从D触发器,时钟CP的上升沿有效,逻辑符号如图5.2b。

5.5 画出图题5.5(a)所示电路在输入图(b)信号时的输出波形。

解:当A=“1”时,CP的下降沿使Q=“1”。

当Q=“1”且 CP =“1”时,Q复位。

波形见题5.5图。

5.6画出图题5.6(a)电路的三个输出Q2、Q1、Q0在图(b)信号输入时的波形变化图(初始状态均为“0”)。

分析三个输出信号和输入信号的关系有何特点。

解:波形见题5.6图。

输出信号按位序递增顺序比输入滞后一个CP周期。

5.7 画出图题5.7所示电路的三个输出Q2、Q1、Q0在时钟脉冲作用下波形变化图(初始状态均为“0”)。

若三个输出组成三位二进制码,Q2为最高位,分析输出码和时钟脉冲输入个数之间的关系。

解:波形见题5.7图,输出码随时钟输入递减:“000”→“111”→“110” →“101” →“100” →“011” →“010” →“011” →“001” →“000”,每8个时钟周期循环一次。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

本章习题
5.1分析图题4.1a 电路的逻辑功能,列出逻辑功能表,画出R、S 输入图b 信号时的输出波形。

题5.1 逻辑功能表
解: 见题5.1 逻辑功能表和波形图。

5.2画出图题5.2各触发器在时钟脉冲作用下的输出波形。

(初态为“0”) 解:波形见题5.2图。

5.3 画出图题4.3中各不同触发方式的D 触发器在输入信号作用下的输出波形 (初态为0)。

Q n S R Q n+1 Q —
n+1 功能0 1 0 1 0 置位1 1 0 1 0 置位00 1 0 1 复位10 1 0 1 复位00 0 0 1 保持10 0 1 0 保持0 1 1 1 1 非法1
1
1
1
1
非法
解:波形见题5.3图。

5.4 图题5.4a由CMOS或非门和传输门组成的触发器,分析电路工作原理,说明触发器类型。

如果用两个图a的电路构成图b电路,说明图b电路是什么性质的触发器。

解:图a为同步D触发器,CP为使能控制,低电平有效。

当CP=“0”时,TG1通、TG2断,触发器根据D信号改变状态;当CP=“1”时,TG1断、TG2通,触发器状态保持。

逻辑符号如图5.2a。

图b为主从D触发器,
时钟CP的上升沿有效,逻辑符号
如图5.2b。

5.5 画出图题5.5(a)所示电
路在输入图(b)信号时的输出波
形。

解:当A=“1”时,CP的下降沿
使Q=“1”。

当Q=“1”且 CP =“1”时,Q复位。

波形见题5.5图。

5.6画出图题5.6(a)电路的三个输出Q2、Q1、Q0在图(b)信号输入时的波形变化图(初始状态均为“0”)。

分析三个输出信号和输入信号的关系有何特点。

解:波形见题5.6图。

输出信号按位序递增顺序比输入滞后一个CP周期。

5.7 画出图题5.7所示电路的三个输出Q2、Q1、Q0在时钟脉冲作用下波形变化图(初始状态均为“0”)。

若三个输出组成三位二进制码,Q2为最高位,分析输出码和时钟脉冲输入个数之间的关系。

解:波形见题5.7图,输出码随时钟输入递减:“000”→“111”→“110” →“101” →“100” →“011” →“010” →“011” →“001” →“000”,每8个时钟周期循环一次。

5.8画出图题5.8电路在A、B信号作用下Q1、Q0、Y的输出波形。

Q1、Q0的初始状态为“0”。

解:JK触发器连成T′触发器,A的下降沿使Q0变反;B的上升沿使Q1=Q0;而Q1=“1”时使Q0复位。

波形见题5.8图。

5.9画出图题5.9(a)所示电路的输出Q1、Q2在图(b)输入信号作用下的波形。

解:R D的低电平使两个触发器复位,时钟上升沿使Q1=D。

时钟下降沿时若Q1=“1”,Q2变反,Q1=“0”;Q2不变。

波形见题5.9图。

5.10画出图题5.10所示电路中B端的波形,并比较A和B的波形,说明此电路的功能。

设各触发器初态为0。

解:A的下降沿使Q变反;CP下降沿时若Q=“1”,B变反(=1),同时使Q立即复位;若Q=“0”,则B=“0”。

波形见题5.10图。

所以A、B信号同频率,但B的高电平时间等于CP周期、并由CP下降沿同步。

5.11分析图题5.11 (a) 所示同步时序电路。

如初始状态为“0”,输入信号如图5.12 (b) 所示,画出D、Q、Z的波形图。

解: D=X○+Q;Q n+1=D=X○+Q n;输出方程:Z=XQ,CP上升沿触发。

波形见题5.11图。

5.12画出图题5.12(a)所示电路在输入图(b)信号时Q1的输出波形。

(初始状态都为“0”)
解:Q1的低电平使Q2复位,Q2的高电平使Q1复位。

当两个触发器的状态都为“0”时,Q2被强制复位,CP2没有作用。

CP1的上升沿使Q1置“1”,Q2复位无效;随后的CP2上升沿使Q2置位→使Q1复位→使Q2复位。

所以,Q1的高电平时间等于CP1超前于CP2的相位差时间,Q2的高电平时间只等于两个触发器的传输延迟时间。

波形图见题5.12图。

5.13 图题5.13 (a)、(b) 所示为两个时序电路,其输入信号如图(c) 所示。

试分别画出相应的输出波形Q1、Q2。

解:J1=Q1○+A,K1=Q——1○+B,Q1n+1=A Q——1 n +B—Q1n;
D= Q2○+A,Q2n+1= Q 2 n○+A。

波形见题5.13图。

5.14 电路如图题5.14所示,设所有触发器的初始状态为0,请画出在时钟脉冲CP作用下Q1、Q2、Q3的波形图。

解:状态方程:Q1n+1=Q1n Q——2n +Q——3 n;Q2n+1=Q1n ;Q3n+1=Q2n
CP上升沿触发,波形见题5.14图。

相关文档
最新文档