交通信号灯_数字逻辑程序设计
数字逻辑 交通信号灯 课程设计作业
课程设计:交通信号控制交通信号灯包括两个红绿灯,其中一个为主车道信号灯,另一个为辅车道信号灯1、当辅车道无车时,主车道交通信号灯为绿灯,当辅车道有车时,主车道保持25s后绿灯变红灯2、当辅车道有车时,辅车道信号灯保持绿灯至少25s,无车时立即变为红灯3、主、辅车道信号灯的绿灯和红灯转换中间有4s的黄灯时间如果定义以下变量,则可画出交通信号灯的状态转换图:·辅道有车。
· 25s定时器(长定时器)开。
· 4s定时器(短定时器)开。
因为只有四个状态,可用2位格雷码表示其状态:如下状态1、格雷码为00 主灯:绿辅灯:红当主绿灯保持25s后,辅车道有车则转到状态2状态2、格雷码为01 主灯:黄辅灯:红4s后转到状态3状态3、格雷码为11 主灯:红辅灯:绿当辅车道无车或25s后,转到状态4状态4、格雷码为10 主灯:红辅灯:黄4s后转到状态4交通信号控制系统结构图联合逻辑接受顺序逻辑的格雷码输出,提供开关信号灯的输出和长短触发器的开关信号时间回路接受联合逻辑的长短触发器信号,以推进顺序逻辑顺序逻辑接受时间回路的输出和车辆传感器输出,产生格雷码(4个状态)给联合逻辑联合逻辑联合逻辑包含一个状态解码器,灯输出逻辑和触发逻辑联合逻辑结构图如下图所示状态解码器接受顺序逻辑输入的2位格雷码输入来确定4个状态S1,S2,S3和S4,其中 S1= S2=G0 S3=G1G0 S4=G1状态解码器逻辑图灯输出逻辑输入状态解码器的四个状态,提供开关信号灯的六个输出,MR,MY,MG和SR,SY,SG。
其中: MR=S3+S4 MY=S2 MG=S1 SR=S1+S2 SY=S4 SG=S3触发逻辑提供长短两个触发输出。
长触发输出在状态1或3开始时启动,25s定时器低到高转换。
短触发输出在状态2或4开始时启动,4s定时器低到高转换。
LongTrig=S1+S3 ShortTrig=S2+S4时间回路时间回路包括一个25s定时器,一个4s定时器和一个时钟发生器。
交通灯控制——时序逻辑电路课程设计
数字逻辑设计及应用课程设计交通灯控制——时序逻辑电路课程设计题目一、设计要求电路用途:用于十字路口交通灯自动控制功能描述:以4个红色指示灯、4个绿色指示灯和4个黄色指示灯模拟路口的东、南、西、北4个方向的红、绿、黄交通灯。
控制这些指示灯,使它们按下列规律亮和灭。
1)初始状态为4个方向的红灯全亮,时间1S;2)东、西方向绿灯亮,南、北方向红灯亮。
东、西方向通车,时间8S;3)东、西方向黄灯闪烁,南、北方向红灯亮,时间2S;4)东、西方向红灯亮,南、北方向绿灯亮,南、北方向通车,时间8S;5)东、西方向红灯亮,南、北方向黄灯闪烁,时间2S;6)返回2),继续运行;设计要求:画出设计流程图;完成逻辑电路图;对电路功能进行说明;指出可改进之处。
核心提示:系统的时钟2Hz已经由其它电路产生;黄灯闪烁可通过连续亮0.5s和灭0.5s实现;需对2Hz时钟进行分频获得1s,2s和8s 信号;状态机有六个输出变量,分别控制东西、南北方向的红、绿、黄灯的亮灭。
二、设计设计流程:画状态表→选择要使用的模块→构建特殊时钟→连接电路图→功能说明→可改进之处。
1)画状态表状态东西方向南北方向时间红绿黄红绿黄1 1 0 0 1 0 0 1s2 0 1 0 1 0 0 8s3 0 0 1 1 0 0 2s4 1 0 0 0 1 0 8s5 1 0 0 0 0 1 2s6 返回状态2其中,黄灯的“1”意为闪烁。
黄灯闪烁的实现可由1 和系统的时钟2Hz 进行与运算从而实现闪烁能;0 和系统的时钟2Hz进行与运算依然为0,表示为灭。
2)选择要使用的模块红灯的实现:东西方向的红灯亮灭规律序列为0011,南北方向的红灯亮灭规律序列为1100,于是红灯的亮灭可通过0011的移位来实现,用74x169. 黄灯、绿灯的实现:东西方向的绿灯亮灭规律序列为1000,黄灯为0100;南北方向的绿灯亮灭规律序列为0010,黄灯为0001.这四个灯的亮灭可用1000移位来实现,用74x169.74x157:实现状态1与其他状态的转换。
数字逻辑红绿灯控制
数电课程设计---红绿灯控制专业:网络工程班级:二班指导教师:***名:**学号:************红绿灯控制设计说明一.设计题目:红绿灯控制要求:● 控制交叉路口的2方向红绿灯变化。
● 变化时序如图1所示。
● 设置复位开关。
图1 红绿灯控制时序二.实验设备XFG1、74LS112、74LS192N 、74LS08、开关、数码管、红黄绿显示灯泡三、实验原理1.交通灯控制电路的系统图2.分部电路图原理说明(1)脉冲发生器用multisim 软件工具中的XFG1设置频率为60HZ ,即可得到如下脉冲(2)状态控制器 脉冲发生器 减法计数器 置数控制器 状态控制器 东西方向交通灯 南北方向交通灯 复位开关根据设计要求,交通灯四种不同状态如下:S0状态:南北方向绿灯亮,东西方向红灯亮。
S1状态:南北方向黄灯亮,东西方向红灯亮。
S2状态:南北方向红灯亮,东西方向绿灯亮。
S3状态:南北方向红灯亮,东西方向黄灯亮。
状态变化图状态编码进位输出C Q1 Q0S0 0 0 0S1 0 1 0S2 1 0 0S3 1 1 1经分析得,有四个状态需要两片JK触发器(74LS112N)实现该状态转换 J1=Q0 K1=Q0J0=1 K0=1C=Q1Q0电路图如下:状态控制器部分主要是控制交通灯按上述四个状态循环变化,设G1、Y1、R1分别表示东西方向的绿黄红灯,G2、Y2、R2分别表示南北方向的绿黄红灯。
状态 74LS112输出端东西方向交通灯南北方向交通灯Q1 Q0 G1 Y1 R1 G2 Y2 R2S0 0 0 0 0 1 1 0 0S1 0 1 0 0 1 0 1 0S2 1 0 1 0 0 0 0 1S3 1 1 0 1 0 0 0 1G1=Q1Q0' G2=Q1'Q0'Y1=Q1Q0 Y2=Q1'Q0R1=Q1' R2=Q1电路如下图所示(3)置数控制器和减法计数器S0:东西方向红灯亮,南北方向绿灯亮12sS1:东西方向红灯亮,南北方向黄灯亮3sS2:东西方向绿灯亮,南北方向红灯亮12s如上图,我们需要用74LS192N十进制加减法计数器来控制各交通灯得时间变化,真值表如下:时间状态个位十位Q1 Q0 D3 D2 D1 D0 C3 C2 C1 C0 12s 0 0 0 0 1 0 0 0 0 13s 0 1 0 0 1 1 0 0 0 012s 1 0 0 0 1 0 0 0 0 13s 1 1 0 0 1 1 0 0 0 0由真值表可得 D3=D2=0 C3=C2=C1=0D1=1 C=Q0’D0=Q0电路如图在电路中我自己又分别将74LS192N的输出接七段显示译码器上来显示时间,可以方便仿真时检查电路是否按照设定时间倒计时。
数字逻辑 红绿灯交通系统 设计报告
设计报告<一> 课程性质数字逻辑课程设计<二> 课程目的训练学生综合地运用所学的《数字逻辑》的基本知识,使用电脑EWB仿真技术,独立完整地设计一定功能的电子电路,以及仿真和调试等的综合能力。
本次电脑仿真所用的软件版本为EWB Version 5.0c<三>课程设计题目题目:交通灯控制电路的设计要求:1、设计一个十字路口的交通灯控制电路,要求东西方向车道和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都设为45秒。
时间可设置修改。
2、在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道;3、黄灯亮时,要求每秒闪亮一次。
4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用倒计时的方法)。
〈四〉设计原理与参考电路1、分析系统的逻辑功能,画出其框图交通灯控制系统的原理框图如图1-1所示。
它主要由倒计时计数电路、信号灯转换器和秒脉冲信号发生器组成。
秒脉冲信号发生器是该系统中倒计时计数电路和黄灯闪烁控制电路的标准时钟信号源,倒计时计数器输出两组驱动信号T 5和T 0,经信号灯转换器控制信号灯工作,倒计时计数电路是系统的主要部分,由它控制信号灯转换器的工作。
2、信号灯转换器两方向车道的交通灯的运行状态共有4种 假设主干道绿灯先亮到最后5秒蓝灯闪烁直到红灯亮,同时支道路口红灯亮主干道红灯亮后,支道绿灯亮,同样到最后5秒蓝灯秒脉冲 发生器闪烁直到红灯亮。
一轮循环完成后进入下一轮循环。
3、状态实现方法1> 计数器实现:控制十位的74190的CLK的脉冲信号来自个位的74190的QA 和QB信号用与门连接产生的信号,当个位显示9时,QA和QB上的信号都为高电平1,而且只有9才有这种信号特征,这正是选这个信号为十位CLK的信号脉冲的原因,一轮倒计时结束进入下一轮的信号接的是两个74190的信号输出即十位QA、QB、QC、QD和个位的QA、QB、QC、QD,用一个8脚或门,这样只有在两个显示芯片的信号都为0的情况才为0,也就是倒计时可以一直的持续下去。
交通灯的PLC程序设计
交通灯的PLC程序设计摘要PLC(可编程逻辑控制器)是一种用于自动化控制的计算机设备。
本文将介绍在交通灯系统中使用PLC进行控制的程序设计。
介绍随着城市化的发展,交通拥堵已经成为了一个普遍的现象。
为了解决交通拥堵问题,并提高道路交通的安全性,交通灯系统变得越来越重要。
在交通灯系统中,使用PLC控制可以实现精确、可靠、高效的控制方式。
PLC是一种专业的控制器,主要用于工业自动化控制。
PLC能够将输入的控制信号进行逻辑处理,并输出相应的控制信号,实现可编程的自动控制。
在交通灯系统中,PLC负责控制信号灯的开关,保证交通信号灯的正常运转。
本文将介绍在交通灯系统中使用PLC的程序设计。
该设计针对的是普通十字路口,控制红、黄、绿三种信号灯的开关顺序,以保证交通流畅和交通安全。
PLC程序设计逻辑控制在交通灯系统中,PLC将接受来自传感器的信号,根据这些信号进行逻辑运算,从而控制信号灯的开关。
通过逻辑运算,PLC可以实现绿灯亮、黄灯亮、红灯亮等不同的控制方式。
PLC的逻辑运算主要包括开关量逻辑和模拟量逻辑两种方式。
对于交通灯系统来说,开关量逻辑是最常用的控制方式,这是因为信号灯的开关只有两种状态:开和关。
控制程序交通灯系统中使用的PLC程序通常是基于状态机的控制方式。
状态机是一种基于状态转移的控制模型,是一种理论模型,用于描述有限个状态及其之间的转移。
交通灯系统中的PLC程序一般会分为两部分:状态转移表和状态转移图。
状态转移表用于记录系统中所有的状态和它们之间的转移关系,状态转移图则是在状态转移表的基础上对状态之间的关系进行图形化表示。
下面是一个简单的状态转移表,用于描述交通灯系统中红、黄、绿三种灯的控制状态:当前状态输入信号下一状态红灯等待绿灯黄灯等待红灯绿灯等待黄灯红灯或黄灯非等待黄灯绿灯非等待红灯PLC程序实现在实现PLC程序时,需要根据状态转移图和状态转移表编写程序。
在交通灯系统中,PLC的输入端接收传感器信号,根据传感器信号和状态转移表的状态转移关系来更新PLC的输出信号。
红绿灯数字逻辑电路设计
红绿灯数字逻辑电路设计,咱也来聊聊嘿,各位朋友们,今天咱们不聊那些高大上的科技新闻,也不谈那些让人头晕的编程语言,咱们来聊聊咱们日常生活中经常能见到的红绿灯,特别是它的数字逻辑电路设计。
你可能会说:“红绿灯?不就是红黄绿三个灯嘛,有啥好聊的?”嘿,这你就说错了,红绿灯背后可是藏着不少的数字逻辑和电路设计的小知识呢!一、红绿灯的基本工作原理咱们先来说说红绿灯的基本工作原理。
红绿灯啊,其实就是一个交通指挥员,它用红、黄、绿三种颜色的灯光来告诉咱们什么时候该停车,什么时候该走。
红灯一亮,那就是告诉你:“嘿,哥们儿,停一停,现在不能走!”绿灯一亮,那就是说:“好嘞,现在可以走了,注意安全!”黄灯呢,就是过渡一下,告诉你:“哎,哥们儿,准备准备,要变灯了!”二、数字逻辑电路是啥?说到红绿灯的数字逻辑电路设计,咱得先明白啥是数字逻辑电路。
其实啊,数字逻辑电路就是一堆电子元件(比如二极管、晶体管啥的)按照一定的逻辑规则连接在一起,能够实现一些特定的功能。
比如咱们常用的计算器、电脑,还有咱们今天要说的红绿灯,都离不开数字逻辑电路。
三、红绿灯的数字逻辑电路设计红绿灯的数字逻辑电路设计啊,说起来也简单,但里面可是有不少门道的。
咱们知道,红绿灯得按照一定的顺序和时间来变换颜色,对吧?那这就需要一个计数器来帮忙了。
这个计数器就像咱们平时数数一样,数到一定的数量就换个灯。
咱们先来看看红绿灯的电路结构。
红绿灯电路啊,主要包括四个部分:脉冲发生器、计数器、译码器和信号灯。
脉冲发生器就像个心脏,不停地发出“滴答滴答”的脉冲信号,给计数器提供动力。
计数器呢,就像咱们数数一样,数到一定的数量就告诉译码器:“嘿,该换灯了!”译码器呢,就像个翻译官,把计数器的指令翻译成咱们能懂的灯光信号,然后控制信号灯亮起来。
咱们再来说说计数器的设计。
计数器啊,其实就是个能数数的电路。
它有个特点,就是能记住自己数了多少个数,然后按照一定的规则来变换数字。
数字逻辑课程设计报告--交通灯控制器
数字逻辑课程设计报告——交通灯控制器学院名称:学生姓名:专业名称:班级:实习时间:2013年6月3日—— 2013年6月14日一、实验目的:1.掌握时序逻辑电路的设计方法,灵活运用理论知识。
2.提高自己的数字系统设计能力和实际动手能力。
3.了解如何将数字电路设计应用到自动控制系统中,从而提高解决实际问题的能力。
二、实验任务与要求1.红绿灯交通信号系统外观示意图2.总体任务及要求⑴ 在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一个方向是绿灯、黄灯、红灯;另一个方向是红灯、绿灯、黄灯。
⑵ 设置一组数码管,以倒计时的方式显示允许通行或禁止通行时间,其中一个方向上红灯亮的时间是30s ,另一个方向上绿灯亮的时间是20s ,黄灯亮的时间都是5s 。
⑶ 用两组数码管,实现双向倒计时显示。
3.总时序工作流程图三、总体方案的设计1、主控电路在设计要求中要实现四种状态的自动转换,首先要把这四种状态以数字的形态表示出来,可以通过两位二进制数表示所需状态(00—Gr, 01—Yr, 11—Rg, 10—Ry),循环状态:(00—01—11—10—00)。
可以设计一个模为4的计数器,其输出(代表不同状态)既可以循环转换,而且能够控制其他部分电路,所以可以利用74LS74(双上升沿D触发器)设计模4计数器作为主控部分电路。
主控电路2、脉冲输出部分脉冲输出部分为555时基芯片构成的多次谐波震荡器,电路原理图如右:其中器件参数分别为R1=4.7kΩ,R2=150kΩ,C1=4.7μF,C2=0.01μF。
产生的时钟脉冲为周期T=1s的方波。
电容C1充电时,暂稳态持续时间为tw1=0.7(R1+R2)C=0.7×(150k+4.7k) ×4.7μ≈0.5s电容C1放电时,暂稳态持续时间为tw2=0.7R2C=0.7×150k×4.7μ≈0.5s因此,电路输出矩形脉冲的周期为T= tw1+tw2≈1s输出占空比为q= tw1/T≈50%脉冲输出3、红绿灯显示电路红绿灯显示是表示电路所处状态,受到主控电路控制,即主控电路的输出(A和B)决定了主干道和支干道的红绿灯的情况。
数字逻辑课程设计——交通灯
数字电子技术课程设计题目:交通灯控制电路设计学生学号:学生学号:学生姓名:学生姓名:专业班级:专业班级:起止日期:起止日期:河南理工大学河南理工大学交通灯控制电路一、设计任务与要求1.设计一个十字路口的交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替秒;运行,每次通行时间都设为25秒;2.要求黄灯先亮5秒,才能变换运行车道;秒,才能变换运行车道;3.黄灯亮时,要求每秒钟闪亮一次.黄灯亮时,要求每秒钟闪亮一次 。
二、实验预习要求1.复习数字系统设计基础。
.复习数字系统设计基础。
2.复习多路数据选择器、二进制同步计数器的工作原理。
.复习多路数据选择器、二进制同步计数器的工作原理。
3.根据交通灯控制系统框图,画出完整的电路图。
.根据交通灯控制系统框图,画出完整的电路图。
三、设计原理与参考电路 1.分析系统的逻辑功能,画出其框图.分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图12、1所示。
它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。
秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。
图中:由它控制定时器和译码器的工作。
图中:TL: 表示甲车道或乙车道绿灯亮的时间间隔为25秒,即车辆正常通行的时间间隔。
定时时间到,TL=1,否则,TL=0。
TY:表示黄灯亮的时间间隔为5秒。
定时时间到,TY=1,否则,TY=0。
ST:表示定时器到了规定的时间后,由控制器发出状态转换信号。
由它控制定时器开始下个工作状态的定时。
下个工作状态的定时。
交通灯控制系统的原理框图图12、1 交通灯控制系统的原理框图功能表表12、2 74LS163功能表控制器状态转换表表12、3 控制器状态转换表根据表12、3、可以推出状态方程和转换信号方程,其方法是:将Q1n+1、Q0n+1和ST为1的项所对应的输人或状态转换条件变量相与,其中"1"用原变量表示,"0"用反变量表示,然后将各与项相或,即可得到下面的方程:然后将各与项相或,即可得到下面的方程:选用数据选择器 74LS153来实现每个D触发器的输入函数,将触发器根据以上方程,选用数据选择器的现态值( )加到74LS153的数据选择输入端作为控制信号.即可实现控制器的功能。
交通灯逻辑电路设计
交通灯逻辑电路设计
交通灯逻辑电路设计是利用数字电路实现对交通信号灯的控制。
一般来说,交通灯逻辑电路包括三个部分:红灯、黄灯和绿灯。
首先,我们需要确定每个灯的状态转换条件。
例如,当交通灯处于红灯状态时,如果检测到车辆或行人通过,则应将状态转换为黄灯;当黄灯状态持续一段时间后,如果没有检测到车辆或行人通过,则应将状态转换为绿灯;当交通灯处于绿灯状态时,如果检测到车辆或行人通过,则应将状态转换为黄灯。
其次,我们需要选择合适的数字电路元件来实现这些状态转换条件。
常用的数字电路元件包括触发器、计数器、译码器等。
根据具体需求,我们可以将这些元件组合起来形成一个完整的交通灯逻辑电路。
最后,我们需要进行仿真测试以确保交通灯逻辑电路的正确性。
通过模拟不同的场景和情况,我们可以验证交通灯逻辑电路是否能够正确地控制交通信号灯的状态转换。
总之,交通灯逻辑电路设计需要考虑多个因素,包括状态转换条件、数字电路元件选择和仿真测试等。
只有在充分考虑这些因素的基础上才能设计出高效可靠的交通灯逻辑电路。
交通信号灯控制逻辑电路设计
交通信号灯控制逻辑电路设计交通信号灯控制逻辑电路设计一、引言交通信号灯是交通管理系统中至关重要的一部分,它能够有效地控制车辆和行人的安全通行。
本文旨在设计一个具有高可靠性和可扩展性的交通信号灯控制逻辑电路,以实现以下目标:1.确保交通信号灯在正确的时间点亮和熄灭;2.实现多种交通模式的控制,如日常、高峰和紧急模式;3.具备故障检测和恢复功能,提高系统的可靠性。
二、系统设计1.硬件设计交通信号灯控制逻辑电路主要由以下几个部分组成:(1)微控制器:选择具有丰富I/O端口和强大处理能力的微控制器,如STM32。
它负责处理外部输入和控制信号灯的点亮和熄灭。
(2)交通信号灯:包括红、绿、黄三种颜色的LED灯,通过微控制器的GPIO 端口控制其点亮和熄灭。
(3)传感器:包括车辆检测传感器和行人检测传感器,用于检测车辆和行人的通行情况。
(4)存储器:存储交通信号灯的状态、故障信息和交通模式等。
(5)故障检测与恢复模块:实时监测交通信号灯的工作状态,一旦发现故障,立即进行恢复。
2.软件设计(1)操作系统:选择一个适用于微控制器的实时操作系统,如FreeRTOS。
它能够实现多任务管理和优先级调度。
(2)控制算法:根据车辆和行人的通行需求,设计控制算法来确定交通信号灯的点亮和熄灭时间。
(3)通信协议:实现与上位机或其他交通管理设备的通信,传输交通信号灯的状态、故障信息和交通模式等信息。
(4)故障检测与恢复程序:在软件层面实现故障检测与恢复功能,确保系统的可靠性。
三、逻辑电路设计1.日常模式:根据预设的时间表控制交通信号灯的点亮和熄灭,同时考虑车辆和行人的通行需求。
2.高峰模式:在高峰时段,延长绿灯时间,缩短红灯时间,提高车辆通行效率。
同时确保行人安全通过。
3.紧急模式:在紧急情况下,如交通事故或火灾,开启应急闪烁模式,以提醒车辆和行人注意安全。
同时,将相关信息传输给上位机和其他交通管理设备。
4.故障检测与恢复:实时监测交通信号灯的工作状态,一旦发现故障,立即进行恢复。
数字逻辑实验报告 交通灯
计算机科学与工程学院综合设计报告设计名称:数字逻辑综合设计设计题目:可人工干预的交通灯控制器学生学号:专业班级:学生姓名:学生成绩:指导教师(职称):课题工作时间:2010/12/27 至2011/01/07成绩评定表学生姓名:学号:班级:答辩记录表指导教师评语目录摘要 (I)Abstract (II)第一章概述............................................................. .. (1)1.1 背景 (1)1.2 目的 (1)1.3 意义 (1)1.4 原理……………………………………………………..…………………………… ..1第二章设计简介及设计方案论述 (3)2.1 设计流程图 (3)2.2 方案论述 (3)第三章详细设计 (5)3.1 555计时器构成的多谐振荡器 (5)3.2 60进制计数器 (6)3.3 60进制倒计时计数器 (8)3.4 人工干预 (9)3.5 红黄绿灯控制电路 (10)3.6 设计总电路及元件清单 (11)第四章设计结果及分析 (13)4.1 设计结果 (13)4.2 过程分析 (13)总结 (14)致谢 (15)参考文献 (16)摘要随着社会经济的发展和机动车辆的不断增加,城市交通问题越来越引起人们的关注。
交通对我们生活的影响更加明朗,已成为交通管理部门需要解决的重要问题之一。
很多因素都会影响在道路中的行车状况,所以一个功能健全的交通控制器尤为重要。
城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。
如何利用所学知识设计出来一个最简单合适的可人工干预的交通灯电路是此次设计主要解决的问题。
因此,这次设计我设计了一个简单的交通灯控制系统。
交通灯的控制系统主要由计时电路、主控电路、多谐振荡器等组成。
关键词:计时电路;主控电路;多谐振荡器AbstractAs social and economic development and increasing motor vehicles, urban transportation problem gets more attention. The impact of traffic on our lives even clearer, traffic management has become an important problem to be solved. Many factors affect the driving conditions on roads, so a functioning traffic signal controller is particularly important. Urban traffic control system is used for urban traffic data monitoring traffic signal control and traffic control of computer integrated management system, which is a modern urban traffic control command system of the most important part. How to use the knowledge from one of the most simple design appropriate human intervention can be a traffic light circuit is mainly designed to solve the problem. Therefore, the design, I designed a simple traffic light control system. Traffic lights control system mainly by the timing circuit ,control circuit and so on.Keyword:Timing circuit; control circuit; multi-vibrator第一章概述1.1 背景交通灯是我们最常见的系统,为了保证交通秩序和行人安全,在街道的十字路口处每条道路上各有一组红、黄、绿交通信号灯,其中红灯亮,表示该条道路禁止通行;黄灯亮表示该条道路上未过停车线的车辆停止通行,已过停车线的车辆继续通行;绿灯亮表示该条道路允许通行。
交通信号灯(格式)数字逻辑
课程设计任务书(指导教师填写)课程设计名称电子技术课程设计学生姓名专业班级设计题目交通灯控制器一、课程设计的任务和目的任务:为十字路口设计一个交通灯控制器,要求准确地控制主、支干道的红、黄、绿三色灯的变换,以及正确显示三色灯的点亮时间。
目的:掌握交通灯控制器的设计、组装、调试方法。
掌握有关集成元器件的工作原理、使用方法。
二、设计内容、技术条件和要求1.设计交通灯控制器:⑴.设计一个交通灯控制器,控制主、支干道的交通灯,每一干道三色灯交替点亮,每次在绿灯灭、红灯亮之前,要求黄灯亮5秒,并且,如果主干道绿灯、黄灯亮时,支干道红灯亮,反之亦然。
⑵.三色灯显示功能:主、支干道的通行时间不同,因此三灯点亮的时间为:绿黄红主干道: 30秒 5秒 25秒支干道: 20秒 5秒 35秒⑶.时间显示功能:时间显示电路以秒为单位显示各色灯的点亮时间。
⑷.扩展及选做内容:红、绿灯点亮及显示时间可调。
2.根据上述要求,画出电路框图、原理总图。
3.对原理图进行仿真。
4.在实验箱上组装、调试。
5.撰写设计总结报告。
三、时间进度安排本课程设计共两周时间。
第一周:理论设计周二布置设计任务;提出课程设计的目的和要求;讲解电子电路的一般设计方法和电子电路的安装、调试技术;明确对撰写总结报告和绘制原理总图的要求;安排答疑、实验时间。
周二至周五学生查资料,进行理论设计,其中安排三次答疑,指导学生设计。
第二周:仿真和安装调试、撰写设计总结报告周一交设计草图供老师审阅。
周二至周四在EDA实验室对其设计的电路进行仿真,并可根据仿真情况修正设计以确定设计正确,能完成设计要求。
周三至周六在实验箱上进行安装、调试,并通过老师验收。
最后,撰写设计总结报告、绘制原理总图。
四、主要参考文献1. 各种版本的数字电子技术基础教材;2. 各种版本的电子技术课程设计指导书;3. 集成电路手册。
指导教师签字:2013 年12月16日。
交通灯逻辑控制电路设计
基本要求
1.工作流程:
基本要求
2.工作时序:
基本要求
3.十字路口要有数字显示 置显示器初值为0,当某方向绿灯亮时, 以每秒加1 计数方式工作;当该方向绿灯灭 黄灯亮起时,显示器记到5t,每秒继续加1 计数;直至黄灯灭红灯亮起,显示器加到6t, 十字路口红、绿灯交换,一次工作循环结 束,而进入下一步某方向的工作循环。 可设 t = 2, 3,……
三、可以使用的器件
74LS164(8位移位寄存器) 74LS161(4位二进制加法计数器) 74LS74 (双D触发器) 74LS04(六反相器) 74LS00(2输入端四与非门) 74LS08(2输入四与门) CC4511(显示译码器) LED(共阴极) 555定时器 若干电阻、电容
七、总结报告
7.安装调试内容,包括:
(1)使用的主要仪器和仪表; (2)调试电路的方法和技巧; (3)测试的数据和波形,并与计算结果比较分析; (4)调试中出现的故障、原因及排除方法。
8.总结设计电路的特点和方案的优缺点,提出
进一步的改进意见和未来的发展。 9.列出所用的元器件 10.列出参考文献
四、设计方案提示
2.交通灯控制器 由波形图可知,计数器每次工作循环周期 为12,所以可以选用12进制计数器。 计数器可以用单触发器组成,也可以用中 规模集成计数器。 提示:选用中规模74LSl64八位移位寄存器组 成扭环形12进制计数器。
四、设计方案提示
3.显示控制部分 显示控制部分,实际是—个定时控制电路。 当绿灯亮时,使加法计数器开始工作,每 来一个秒脉冲,使计数器加1,直到计数器 红灯亮而停止。 提示:译码显示可用七段译码器CC4511 ,显 示器用LED,计数器采用74LSl61。
数字逻辑电路设计题目
课题一交通灯控制逻辑电路设计一、概况为了确保十字路口的车辆顺利、畅通地通过, 往往都采用自动控制的交通信号灯来进行指挥。
其中红灯(R)亮表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。
1.1 交通灯控制器系统框图二、设计任务和要求1.设计一个十字路口交通信号灯控制器, 其要求如下:2.满足如图1.2顺序工作流程。
图中设南北方向的红、黄、绿灯分别为NSR、NSY、NSG, 东西方向的红、黄、绿灯分别为EWR、EWY、EWG。
它们的工作方式, 有些必须是并行进行的, 即南北方向绿灯亮, 东西方向红灯亮;南北方向黄灯亮, 东西方向红灯亮;南北方向红灯亮, 东西方向绿灯亮;南北方向红灯亮, 东西方向黄灯亮。
t为时间单位图1.2 交通灯顺序工作流程图. 2.应满足两个方向的工作时序: 即东西方向亮红灯时间应等于南北方向亮黄、绿灯时间之和, 南北方向亮红灯时间应等于东西方向亮黄、绿灯时间之和。
时序工作流程图见图3.3所示。
图3.3中, 假设每个单位时间为3秒, 则南北、东西方向绿、黄、红灯亮时间分别为15秒、3秒、18秒, 一次循环为36秒。
其中红灯亮的时间为绿灯、黄灯亮的时间之和, 黄灯是间歇闪耀。
467891011112503462503tNSG图1.3 交通灯时序工作流程图3.十字路口要有数字显示, 作为时间提示, 以便人们更直观地把握时间。
具体为: 当某方向绿灯亮时, 置显示器为某值, 然后以每秒减1计数方式工作, 直至减到数为“0”, 十字路口红、绿等交换, 一次工作循环结束, 而进入下一步某方向的工作循环。
例如: 当南北方向从红灯转换成绿灯时, 置南北方向数字显示为18, 并使数显计数器开始减“1”计数, 当减到绿灯灭而黄灯亮(闪耀)时, 数显得值应为3, 当减到“0”时, 此时黄灯灭, 而南北方向的红灯亮;同时, 使得东西方向的绿灯亮, 并置东西方向的数显为18。
4.可以手动调整和自动控制,夜间为黄灯闪耀。
交通灯信号控制器---数字逻辑课程设计
第一章系统概述1.1系统开发背景和意义1.1.1系统开发背景随着社会经济的发展,城市交通问题越来越引起人们的关注。
人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。
随着城市机动车量的不断增加,许多大城市如北京、上海、南京等出现了交通超负荷运行的情况,因此,自80年代后期,这些城市纷纷修建城市高速道路,在高速道路建设完成的初期,它们也曾有效地改善了交通状况,但路修好了如果在交通控制方面做不好道路还是无法保障畅通安全。
交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。
1.1.2 系统开发意义自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化。
尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。
我所设计的交通灯虽然很简单,但是在一定程度上也能符合现代交通灯的要求。
1.1.3设计任务设计一个交通灯信号控制器。
有一条主干道和一条支干道的汇合点形成的十字交叉路口,为确保车辆安全、迅速地通行,在交叉处的每个入口处设置了红、黄、绿三色信号灯.红灯亮禁止通行;绿灯亮允许通行;黄灯亮提醒司机将行驶中的车辆禁行线之外.1.1.4设计要求主、支干道交替通行,主干道每次放行9T,支干道每次放行5T(T=5秒)。
每次绿灯变成红灯时,黄灯亮T。
(此时另一干道的红灯不变)。
第二章原理分析2.1状态分析表2-1交通灯状态表(假设主干道先通行,并且时刚从0秒开始)时钟主干道交通灯状态支干道交通灯状态1~9T 红灭黄灭绿亮红亮黄灭绿灭10T 红灭黄亮绿灭红亮黄灭绿灭11~16T 红亮黄灭绿灭红灭黄灭绿亮17T 红亮黄灭绿灭红灭黄亮绿灭由图可以看出具体的循环周期为17T,因此我们可以采用74LS190来做控制器,而T=5秒。
交通灯数字逻辑课程设计
交通灯数字逻辑课程设计一、课程目标知识目标:1. 学生能理解并掌握交通灯数字逻辑电路的基本原理;2. 学生能够运用所学知识,分析并设计简单的交通灯数字逻辑电路;3. 学生能够了解并描述交通灯数字逻辑电路在生活中的应用。
技能目标:1. 学生能够运用所学知识,使用逻辑门电路搭建简单的交通灯控制系统;2. 学生能够通过编程软件,设计并实现交通灯数字逻辑控制程序;3. 学生能够通过实际操作,测试并优化交通灯数字逻辑电路的性能。
情感态度价值观目标:1. 学生能够培养对电子技术的兴趣,增强学习电子技术的自信心;2. 学生能够认识到交通灯数字逻辑电路在生活中的重要性,增强社会责任感;3. 学生能够培养团队协作意识,提高沟通与表达能力;4. 学生能够养成严谨的科学态度,注重实践与创新。
课程性质:本课程为电子技术领域的实践活动,旨在让学生通过实际操作,掌握交通灯数字逻辑电路的设计与应用。
学生特点:本课程针对初中年级学生,他们对电子技术有一定的基础认识,具有较强的动手能力和探索精神。
教学要求:教师需结合学生特点,采用启发式教学,引导学生主动参与课堂讨论与实践操作,注重培养学生的实际应用能力。
在教学过程中,将课程目标分解为具体的学习成果,以便进行有效的教学设计和评估。
二、教学内容1. 交通灯数字逻辑电路基本原理- 逻辑门电路的原理与功能- 交通灯系统的基本组成与工作原理2. 交通灯数字逻辑电路设计- 设计简单的交通灯控制系统- 使用逻辑门电路搭建交通灯控制电路- 编程软件设计交通灯控制程序3. 交通灯数字逻辑电路应用- 分析交通灯数字逻辑电路在实际生活中的应用案例- 讨论交通灯系统优化方案4. 实践操作与性能测试- 实际操作搭建交通灯数字逻辑电路- 测试并优化电路性能- 探讨实验过程中遇到的问题及解决方法教学内容安排与进度:第一课时:介绍交通灯系统基本原理,学习逻辑门电路的原理与功能;第二课时:学习交通灯控制系统的基本组成,设计简单的交通灯控制系统;第三课时:使用逻辑门电路搭建交通灯控制电路,学习编程软件设计交通灯控制程序;第四课时:分析交通灯数字逻辑电路在实际生活中的应用,讨论优化方案;第五课时:实践操作搭建交通灯数字逻辑电路,测试并优化电路性能。
太原理工大学数字逻辑课设(交通灯)
太原理工大学计算机科学与技术学院课程设计报告课程名称:数字逻辑综合课程设计系部:计算机科学与技术专业班级:计科1402学生姓名:陈志棚学号:35同组人:潘鋆、张瑾、谢项指导教师:廖丽娟完成时间:报告成绩:目录交通灯控制设计报告 (4)一.设计要求 (4)1、基本要求 (4)2、扩展要求 (4)3、创新设计 (5)二.设计的作用、目的 (5)三.设计的具体实现 (5)1. 系统概述 (5)2.单元电路设计、仿真与分析(小三号宋体) (6)3.电路的安装与调试 (9)4.直流稳压电源电路 (10)四.心得体会及建议 (16)五.附录 (16)元器件清单: (16)六.参考文献 (17)附图 (18)太原理工大学计算机科学与技术学院《数字系统设计》课程设计任务书指导教师签名:日期:交通灯控制设计报告一.设计要求1、基本要求①设计一个输出可在3~15V连续可调的直流稳压电源,要求当电网电压在220V上下波动15%,输出电流在0~80mA范围内变化时,均可正常稳压,输出电压的变化不超过±。
②主、支干道交替通行,主干道每次放行15秒,支干道每次放行10秒;每次绿灯变红灯前,黄灯先亮5秒,此时另一干道上红灯不变。
③主干道黄灯亮时,支干道红灯以1Hz的频率闪烁;支干道黄灯亮时,主干道红灯以1Hz的频率闪烁。
④主、支干道各信号灯亮时,需配合有时间提示,以数字显示出来,且以每秒减“1”的计数方式工作,直到减到“0”后主支干道各信号灯自动转换。
2、扩展要求在满足基本要求的前提下,可实现特殊状态(如火警、救护等)的交通灯控制,声控传感器S送出1的电平时,进入特殊状态,要求:①东西、南北方向的全部是红灯亮。
②计数器停止计数并保持原来的时间数据。
③特殊状态解除后,继续返回正常工作状态。
3、创新设计在满足基本要求和扩展要求的前提下,设计一个可编程交通灯控制器,具体要求是:①有控制显示电路,能根据需要更改交通灯的时序。
交通信号灯 数字逻辑程序设计
石家庄经济学院信息工程学院数字逻辑课程设计报告题目十字路口交通信号灯控制器的设计姓名学号班号二班指导老师邹老师王老师关老师成绩2009年6月目录1. 课程设计目的 (52)2. 开发工具选择 (52)3. 设计方案 (52)4.模块描述 (52)5. VHDL实现 (52)6. 调试仿真 (52)7. 课程设计回顾总结 (52)参考文献 (52)附录 (52)1.课程设计目的伴随着社会的发展以及人类生活水平的提高,汽车的数量在D的DEA技术的发展和应用领域的扩大与深入,EDA技术在电子信息,通信,自动,控制及计算机应用等领域的重要性日益突出。
随着技术市场与人才市场对DEA的不断的增加,交通的问题日益突出,单单依靠人力来指挥交通已经不可行了,所以,设计交通灯来完成这个需求就显的越加迫切了.为了确保十字路口的行人和车辆顺利、畅通地通过,往往采用电子控制的交通信号来进行指挥。
以下就是运用数字电子设计出的交通灯:其中红灯亮,表示该条路禁止通行;黄灯亮表示停车;绿灯亮表示允许通行。
通过实践教学引导学生在理论指导下有所创新,为后继专业课的学习和日后工程实践奠定基础。
2. 开发工具选择Quartus II 5.13. 设计方案在设计方案之前,我们应明确课程设计的任务和要求:该数字系统完成对十字路口交通信号灯的控制,十字路口由一条南北方向的支干道(简称A道)和东西方向的主干道(简称B道)构成。
A道安装有车辆检测传感器X,当该道有车时,传感器输出信号为高电平,当该道无车时,传感器输出低电平信号。
十字路口交通灯控制规则为:当A道无车时,传感器输出X=0,A道红灯一直亮,B道绿灯一直亮,直到15s定时时间到且A道有车为止;当B道通行15s且A道有车时,B道绿灯亮变成黄灯,经过5s后变成红灯亮,A道由红灯亮变成绿灯亮,直到10s定时时间到或者X=0为止;最后A道黄灯亮,B道红灯亮,直到5s定时时间到,再回到B道绿灯亮,A道红灯亮的初始状态。
数字逻辑交通灯实验报告
实验报告一、实验课题交通灯控制器二、任务要求在十字路口,每条道路各有一组红、黄、绿灯和倒计时显示器,用以指挥车辆和行人有序的通行。
具体要求如下:(1)在十字路口的两个方向上各设一组红绿黄灯。
(2)每个方向设置一组数码光,以倒计时的方式显示允许通行或禁止的时间。
可以自设时间。
(3)允许当特殊情况出现时,比如紧急状态,个方向上均是红灯亮,且显示数字在闪烁。
或者模拟夜间黄灯闪烁。
三、设计方案整个系统分为控制器模块、分频器模块、译码器模块。
1.控制器模块:控制器分为两个,A,B两路各一个,分别为ALU,BLU。
以A路为例,灯亮顺序为:红30秒 黄5秒绿25秒,并有特殊情况按键输入---------special。
当special=0时,交通灯正常显示,为1时候,两路全红灯。
代码如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity Alu isport(clk,special:in std_logic;ar,ag,ay:out std_logic; ----红、绿、黄timas,timag:out std_logic_vector(3 downto 0)); ----十位、个位计数end Alu;architecture alu_arc of Alu istype rgly is(red,green,yellow); ---灯亮顺序为红30 黄5 绿25beginprocess(clk)variable a:std_logic; ----变量声明variable ts,tg:std_logic_vector(3 downto 0);variable state:rgly;beginif special='1' then ar<='1';elsif clk'event and clk='1' then ---高电平case state iswhen red=>if a='0' then --红灯状态ts:="0010"; --十位计2tg:="1001"; --个位计9a:='1';ag<='0';ay<='0';ar<='1'; -------------------------------------------------红灯先亮elseif not(ts="0000" and tg="0001") then --若计数值不为1if tg="0000" then --若个位为0tg:="1001"; --个位置9ts:=ts-1; --十位自减1elsetg:=tg-1; --个位自减1end if;elsets:="0000";tg:="0000";a:='0';state:=yellow;----转为黄灯状态end if;end if;when yellow=>if a='0' then -----黄灯状态ts:="0000"; ---十位置0tg:="0100"; ----个位置9a:='1';ag<='0' ;ay<='1'; --------------------------------黄亮ar<='0';elseif not(ts="0000" and tg="0001") thenif tg="0000" thentg:="1001";ts:=ts-1;elsetg:=tg-1;end if;elsets:="0000";tg:="0000";a:='0';state:=green;end if;end if;when green=>if a='0' then --------红灯状态ts:="0010"; ----十位置2tg:="0100"; ---个位置4a:='1';ar<='0';ay<='0';ag<='1';elseif not(ts="0000" and tg="0001") thenif tg="0000" thentg:="1001";ts:=ts-1 ;elsetg:=tg-1;end if;elsets:="0000";tg:="0000";a:='0';state:=red;end if;end if;end case;timas<=ts;timag<=tg;end if;end process;end alu_arc;BLU和ALU相似,亮灯顺序为:绿25秒,黄5秒,红30秒。
数字逻辑课程设计报告——交通灯
数字逻辑课程设计报告——交通灯交通灯设计⼀、红绿灯交通信号系统功能概述红绿灯交通信号系统为模拟实际的⼗字路⼝交通信号灯。
外部硬件电路包括:两组红黄绿灯(配合⼗字路⼝的双向指挥控制)、⼀组⼿动与⾃动控制开关(针对交通警察指挥交通控制使⽤)、倒计时显⽰器(显⽰允许通⾏或禁⽌通⾏时间)。
⼆、任务和要求:1.在⼗字路⼝的两个⽅向上各设⼀组红黄绿灯,显⽰顺序为其中⼀⽅向是绿灯、黄灯、红灯;另⼀⽅向是红灯、绿灯、黄灯。
2.设置⼀组数码管,以倒计时的⽅式显⽰允许通⾏或禁⽌通⾏时间,其中⼀个⽅向上绿灯亮的时间是20s,另⼀个⽅向上绿灯亮的时间是30s,黄灯亮的的时间都是5s。
3.选做:当任何⼀个⽅向出现特殊情况,按下⼿动开关,其中⼀个⽅向常通⾏,倒计时停⽌。
当特殊情况结束后,按下⾃动控制开关,恢复正常状态。
4.选做:⽤两组数码管,实现双向倒计时显⽰。
三、设计思路概述:1.任务分析:交通灯控制器主要实现两部分功能:①东西、南北双向通路的红、绿、黄灯控制;②东西向主通路的倒计时显⽰。
另外,在此设计中还实现了紧急情况下的强制中断功能。
2. 系统外观⽰意图:3.具体功能分析:此电路为⼗字路⼝交通灯控制电路,要求东西向和南北向不能同时出现绿(黄)灯,发⽣“撞车”现象。
即当某⼀⽅向为绿灯或黄灯时,另⼀⽅向必为红灯。
东西向主通路有倒计时显⽰。
设计时序如下:东西向南北向4.电路框图设计:说明:①脉冲输出部分为555时基芯⽚构成的多次谐波振荡器,由其产⽣周期为1s的时钟脉冲信号。
②计时控制部分主要由两⽚74LS161(⼗六进制同步加法计数器)、74LS74(边沿D触发器)及逻辑门电路构成。
产⽣30s、20s和5s的倒计时信号。
③彩灯控制部分将计时控制部分输出的信号通过逻辑门电路及74LS139(双2—4线译码器)产⽣控制信号,控制彩灯按照响应时序显⽰,并将74LS139的输出信号反馈回计时控制部分实现三种倒计时之间的切换。
④数字显⽰部分主要由74LS48(7段显⽰译码器)、74LS04(反相器)及8段共阴极数码管构成,通过接⼊计时控制部分的信号实现倒计时显⽰。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
石家庄经济学院信息工程学院数字逻辑课程设计报告题目十字路口交通灯控制器姓名赵永超学号************班号4091090706指导老师成绩2010年6月目录1. 功能描述 (52)2. 开发工具选择 (52)3. 设计方案 (52)4.模块描述 (52)5. VHDL实现 (52)6. 调试仿真 (52)7. 课程设计回顾总结 (52)参考文献 (52)附录 (52)1.功能描述ar,ay,ag 和br,by,bg分别表示A和B道的红灯,黄灯,绿灯的驱动信号。
信号灯在高电平时亮,低电平时熄灭。
十字路口的交通灯控制电路功能:十字路口交通灯控制规则为:当A道无车时,传感器输出X=0,A 道红灯一直亮,B道绿灯一直亮,直到15s定时时间到且A道有车为止;当B道通行15s且A道有车时,B道绿灯亮变成黄灯,经过5s后变成红灯亮,A道由红灯亮变成绿灯亮,直到10s定时时间到或者X=0为止;最后A道黄灯亮,B道红灯亮,直到5s定时时间到,再回到B道绿灯亮,A道红灯亮的初始状态。
2.开发工具选择选择VHDL语言描述,利用Quartus II 5.1工具。
3. 设计方案在设计方案之前,我们应明确课程设计的任务和要求:该数字系统完成对十字路口交通信号灯的控制,十字路口由一条南北方向的支干道(简称A道)和东西方向的主干道(简称B道)构成。
A道安装有车辆检测传感器X,当该道有车时,传感器输出信号为高电平,当该道无车时,传感器输出低电平信号。
十字路口交通灯控制规则为:当A道无车时,传感器输出X=0,A 道红灯一直亮,B道绿灯一直亮,直到15s定时时间到且A道有车为止;当B道通行15s且A道有车时,B道绿灯亮变成黄灯,经过5s后变成红灯亮,A道由红灯亮变成绿灯亮,直到10s定时时间到或者X=0为止;最后A道黄灯亮,B道红灯亮,直到5s定时时间到,再回到B道绿灯亮,A道红灯亮的初始状态。
(1)、首先对问题进行仔细分析设东西和南北方向的车流量大致相同,从题目中计数值与交通灯的亮灭的关系如下图所示:(2) 经过认真分析后制定设计方案如图3十字路口交通灯控制系统由定时器模块、控制器模块和输出模块组成。
定时器模块由15s 、5s 、10s 三个定时器构成,分别确定A 道、B 道通行时间(绿灯亮时间)、缓冲时间(黄灯亮时间)和禁止时间(红灯亮时间)。
三个定时器采用以秒为时钟的计数器来实现,e15,e5,e10分别是三个定时计数器的工作使能信号,即当e15,e5,e10为1时,相应的定时器计数;tm15、tm5、tm10为定时计数器的计数指示信号,当计数器在计数过程中,相应的指示信号为0,当计时时间到即计时结束时,相应的指示信号为1。
交通灯控制器模块的作用是对系统工作状态的转换进行控制,根据十字路口交通控制信号灯控制规则则可得到系统状态转换表如图4示,其中ar ,ay ,ag 和br ,by ,bg 分别表示由控制器输出的A 道和B 道红、黄、绿灯点B 道A0sec 35sec15sec 图2亮信号,e15、e10、e5分别表示由控制器输出传给15s、10s、5s三个定时计数器的计数使能信号,x为输入控制器的车辆传感器信号,tm15、tm5、tm10分别表示由15s、10s、5s定时计数器产生的计时时间到信号,它们是控制器的输入信号。
从图4可知系统有5个状态,编码为S0(00)、S1(01)、S2(10)、S3(11)、S4(xx),各信号高电平有效,低电平无效。
输出模块的作用是将控制器输出的各个信号进行驱动以点亮对应的信号灯,。
定时器模块控制器模块输出模块图3十字路口交通灯控制器状态转换表(图4)4.模块描述该控制系统主要分为三大模块:定时计数器模块和控制器模块和连接模块Ⅰ、定时计数器模块:该模块分为三个定时电路,假设时基脉冲为1Hz,则需要设计一个15进制计数器、一个5进制计数器和一个10进制计数器,且每个计数器应具有计数使能控制端和计时时间到信号输出端。
本设计中可采用异步清零、同步置位的4位十进制加法计数器74LS160构成。
(1)、模10定时计数器的简化形式如下图:表二模10计数器功能真值表(2)、模5定时计数器的简化形式如下图:(3)、模15定时计数器的简化形式如下图:表三模15计数器功能真值表Ⅱ、控制器模块的简化形式如下图:5.VHDL实现:下面是程序实现的vhdl代码(1)模5定时计数器实现代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY counter5 isPORT (cp, e5,reset: IN STD_LOGIC; --定义输入信号tm5: OUT STD_LOGIC); --定义输出信号END counter5;ARCHITECTURE cnt5 of counter5 is --结构体BEGINPROCESS(cp, reset) --进程的敏感信号是cp和reset,进程描述摸5计数过程V ARIABLE cnt: INTEGER RANGE 5 DOWNTO 0; --定义变量cnt 为整数,范围5到0BEGINIF reset='1' THENcnt:=0;ELSIF (cp'EVENT AND cp='1') THEN --如果CP信号发生变化且变化后结果是CP=’1’IF e5='1' AND cnt<5 THENcnt:=cnt+1;ELSEcnt:=0;END IF;END IF;IF cnt=5 THENtm5<='1' ;ELSEtm5<='0';END IF;END PROCESS;END cnt5;(2)、模10定时计数器实现代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY counter10 ISPORT(cp, e10, reset: IN STD_LOGIC; --定义输入信号tm10: OUT STD_LOGIC); --定义输出信号END counter10;ARCHITECTURE cnt10 OF counter10 ISBEGINPROCESS(cp, reset) --进程的敏感信号是cp和reset,进程描述摸5计数过程V ARIABLE cnt: INTEGER RANGE 10 DOWNTO 0; --定义变量cnt 为整数,范围10到0BEGINIF reset='1' thencnt:=0;ELSIF (cp'EVENT AND cp='1') THEN --如果CP信号发生变化且变化后结果是CP=’1’IF e10='1' and cnt<10 THENcnt:=cnt+1;ELSEcnt:=0;END IF;END IF;IF cnt=10 thentm10<='1';ELSEtm10<='0';END IF;END process;END cnt10;(3)、模15定时计数器实现代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY counter15 isPORT (cp, e15, reset: IN STD_LOGIC; --定义输入信号tm15: OUT STD_LOGIC); --定义输出信号END counter15;ARCHITECTURE cnt15 of counter15 isBEGINPROCESS(cp, reset) --进程的敏感信号是cp和reset,进程描述摸15计数过程V ARIABLE cnt: INTEGER RANGE 15 DOWNTO 0; --定义变量cnt为整数,范围15到0BEGINIF reset='1' THENcnt:=0;ELSIF (cp'EVENT AND cp='1') THEN --如果CP信号发生变化且变化后结果是CP=’1’IF e15='1' AND cnt<15 THENcnt:=cnt+1;ELSEcnt:=0;END IF;END IF;IF cnt=15 THENtm15<='1' ;ELSEtm15<='0';END IF;END PROCESS;END cnt15;(4)、控制器controller实现代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY controller ISPORT(cp,x,reset,tm10,tm5,tm15:IN STD_LOGIC; --定义输入信号br,by,bg,ar,ay,ag,e10,e5,e15:OUT STD_LOGIC); --定义输出信号end controller;ARCHITECTURE behave_control OF controller IS --结构体TYPE CONTROL_STATE IS (S0,S1,S2,S3) ; --定义枚举类型数据,4个状态SIGNAL state:CONTROL_STATE:=S0; --定义初始信号为S0BEGINPROCESS(CP,X,RESET) --进程的敏感信号是cp,x和reset,进程描述控制器运行BEGINIF RESET='1' THENstate<=S0;ELSIF (cp'EVENT AND cp='1') THEN --如果CP信号发生变化且变化后结果是CP=’1’CASE state ISWHEN S0=>IF (x AND tm15)='1' THENstate<=S1;END IF;WHEN S1=>IF tm5='1' THENstate<=S2;END IF;WHEN S2=>IF ((NOT x)OR tm10)='1' THENstate<=S3;END IF;WHEN S3=>IF tm5='1' THENstate<=S0;END IF;END CASE;END IF;END PROCESS;br<='1' when state=S2 or state=S3 else '0';by<='1' when state=S1 else '0';bg<='1' when state=S0 else '0';ar<='1' when state=S0 or state=S1 else '0';ay<='1' when state=S3 else '0';ag<='1' when state=S2 else '0';e10<='1' when state=S2 else '0';e5<='1' when state=S1 or state=S3 else '0';e15<='1' when state=S0 else '0';END behave_control;(5)、连接模块(对各接口进行例化)实现代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;LIBRARY LATTICE;ENTITY RYG ISPORT(cp, reset, x: IN STD_LOGIC;br, by, bg, ar, ay, ag: OUT STD_LOGIC);END RYG;ARCHITECTURE BE_RYG OF RYG ISCOMPONENT counter10 --元件说明:模10计数器PORT(cp, e10, reset: IN STD_LOGIC;tm10: OUT STD_LOGIC);END COMPONENT;COMPONENT counter5 --元件说明:模5计数器PORT (cp, e5, reset: IN STD_LOGIC;tm5: OUT STD_LOGIC);END COMPONENT;COMPONENT counter15 --元件说明:模15计数器PORT (cp, e15, reset: IN STD_LOGIC;tm15: OUT STD_LOGIC);END COMPONENT;COMPONENT controller --元件说明:控制器PORT(cp, x, reset, tm10, tm5, tm15: IN STD_LOGIC;br, by,bg, ar, ay, ag, e10, e5, e15: OUT STD_LOGIC);END COMPONENT;signal tag1, tag2, tag3, tag4, tag5, tag6: std_logic;BEGINU1: counter10 PORT MAP(cp=>cp, e10=>tag1, reset=>reset, tm10=>tag4); --模10元件例化U2: counter5 PORT MAP(cp=>cp, e5=>tag2, reset=>reset, tm5=>tag5); --模5元件例化U3: counter15 PORT MAP(cp=>cp, e15=>tag3,reset=> reset, tm15=>tag6); --模15元件例化U4: controller PORT MAP(cp=>cp, x=>x, reset=>reset, tm10=>tag4,tm5=>tag5, tm15=>tag6, br=>br, by=>by, bg=>bg, ar=>ar, ay=>ay, ag=>ag, e10=>tag1, e5=>tag2, e15=>tag3); --控制器元件例化END BE_RYG;6.调试仿真(1)、模5计数器调试仿真结果:(2)、模10计数器调试仿真结果:(3)、模15计数器调试仿真结果:(4)、控制器controller调试仿真结果:(5)、十字路口交通灯控制系统仿真波形:7.课程设计回顾总结及心得体会设计逻辑电路时,首先分析功能,确定输入和输出,划分功能模块。