三位数显示计时器定时器数电课程设计
数电课设三位数字显示计时系统
三位数字显示计时系统一.设计要求设计一个3位数字显示的时间计数系统,以供运动员比赛用。
要求精度到秒,最大计时9分59秒。
可以用按钮开关控制计数器的启动,停止及清零,开机时可以自动清零。
二.设计方案数字显示计时系统是通过控制电路使加法计数器对连续脉冲进行计数,而加法计数器通过译码器来显示它记忆的脉冲周期个数。
1.连续脉冲发生:可选用555定时器构成的多谐振荡器产生,也可选用石英晶体振荡器,通过计数器分频产生,获得精确的秒脉冲信号。
2.计数及译码显示:加法计数器构成电子秒表的计数单元。
分频器输出端取得周期为一秒的矩形脉冲送入计数器中。
三个计数器的输出端分别与三个数码显示译码器的相应输入端连接。
可显示0: 00 ------- 9: 59计时。
三.设计原理1.74LS160 功能表;74LS160,为模十加法计数器。
使用三片:第一片清零端CR,置数端LD,CTT,CTP均置1, CP输入连续脉冲,实现模十计数,经过十个脉冲后,输出端CO=1。
将第一片芯片的输出端CO接第二个芯片的CTT和CTP,第二个芯片的置数端LD置1, CP输入连续脉冲,当第一个芯片循环一次时才开始计数。
由于该芯片为异步清零,所以将输出QB,QC 接入与非门,输出接入清零端CR,当计数至5时,实现清零,完成模六计数。
将第二片芯片的清零端的CR接高位片的脉冲输入端CP,高位位片清零端CR,置数端LD,CTT,CTP均置1,当第二片芯片实现一次清零即模六计数一次,才开始计数,实现模十计数。
2. 74L00为与非门芯片。
与非门功能表四.设计仿真(EWB仿真)CL^VCC>CLK RCOA阳B QBC QGD QVEhF ENTGND L(WnuVCC4E4A4Y3B3A3YnuCL宅VCC*CLK RCOA曲B QBc(XD迦EbF ENTGND LOAD'74160741601 KOhmJSv 1 KOhffl(5VL1k 01--iK DCLCL<EECOtz_lJ 17俪161■:j 1:4E4ft4 13E3A3Y lAtBlr削昨門则1 HzfSC%? 1 KOI*fni5V_iL五. 设计实验操作1. 取实验所需芯片:一片74LS00,三片74LS1602. 分别将三个74LS160电源,接地端接好,CP 接连续脉冲,ENT,ENP,LD,CLR 接 1,QA,QB,QC,QD 接数码显示管的 A,B,C,D ,测 试芯片的计数功能。
999倒计时计时器-课程设计
倒计时计时器倒计时计时器的用途很广泛。
它可以用做定时,控制被定时的电器的工作状态,实现定时开或者定时关,最长定时时间为999分钟。
它还可以用做倒计时记数,最长记时时间为999秒,有三位数码管显示记数状态。
一、设计要求用三个可预置数的减计数器组成三个二-十进制减计数器。
用三个译码器和三个LED数码显示器,COMSS电路组成999秒内倒计时。
二、设计任务1.完成全电路的理论设计2.参数的计算和有关器件的选择(秒计时,译码显示)3.对电路进行仿真4.撰写实验报告书一份;A3图纸至少一张。
报告书要求写以下组要内容(1)总体方案的选择和设计(2)各个单元电路的选择和设计(2)仿真的过程的实现目录一、设计任务和要求^ (3)二、设计的方案的选择与论证 (4)三、电路设计计算与分析 (5)(1).系统设计框图 (5)(2).时钟脉冲发生器 (5)(3).计数器电路 (7)(4).译码器和显示管 (9)四、总结及心得 (11)五、附录 (13)六、参考文献 (14)一、设计任务和要求说明:倒计时计时器的用途很广泛。
它可以用做定时,控制被定时的电器的工作状态,实现定时开或者定时关,最长定时时间为999分钟。
它还可以用做倒计时记数,最长记时时间为999秒,有三位数码管显示记数状态。
(1).用三个可预置数的减计数器组成三个二-十进制减计数器。
(2).用三个译码器和三个LED数码显示器,COMSS电路组成999秒内倒计时。
(1).完成全电路的理论设计(2).参数的计算和有关器件的选择(秒计时,译码显示)(3).对电路进行仿真(4).撰写实验报告书一份;A3图纸至少一张。
报告书要求写以下组要内容(1)总体方案的选择和设计(2)各个单元电路的选择和设计(2)仿真的过程的实现二、设计的方案的选择与论证我们可以用时钟脉冲发生器来产生频率为1Hz的脉冲,即输出周期为1秒的方波脉冲,将该方波脉冲信号送到计数器74LS192的CP减计数脉冲端,再通过译码器74LS48把输入的8421BCD码经过内部作和电路“翻译”成七段(a,b,c,d,e,f,g)输出,显示十进制数,或者将该方波脉冲信号送到减法计数器CD40110的CP减计数脉冲端,通过计数器把8421BCD码经过内部作和电路“翻译”成七段(a,b,c,d,e,f,g)输出,显示十进制数,然然后在适当的位置设置开关或控制电路即可实现计数器的直接清零,启动和暂停/连续、译码显示电路的显示。
数显定时器课程设计报告
目录1. 实验目的 (2)2.实验任务和要求 (2)3.设计方案的选择................................ 错误!未定义书签。
4.实验原理. (5)5. 电路主要元器件介绍 (9)6. 电路焊接及调试 (13)7. 故障分析...................................... 错误!未定义书签。
8 . 仪器仪表清单.................................. 错误!未定义书签。
9. 心得体会...................................... 错误!未定义书签。
10. 致谢.......................................... 错误!未定义书签。
11. 参考文献...................................... 错误!未定义书签。
一、实验目的:本课程为电子、通信类专业的独立实践课,该课程设计建立在电路基础低频与高频电子线路等课程的基础上,主要让学生加深对高频电子线路理论知识的掌握,使学生能把所学的只是系统地、高效地贯穿到实践中来,避免理论与实践的脱离,同时提高学生的动手能力,并在实践中不断完善理论基础,有助于培养学生综合能力。
二、实验任务和要求:2.1任务:根据数电模电知识,设计一个具有较高精度的9为数显定时器。
2.2要求:1.具有数码管显示9秒的定时功能;2.定时器为9秒递减定时,其记数间隔为1秒;3.数显定时器的记数频率可调;三、设计方案的选择:3.1方案一:它是由555电路组成的多谐振荡器构成实际脉冲发生器,一只可预置数二进制同步可逆计数器74LS193组成的脉冲计数器,译码和显示驱动器4511组成,它将输出的BCD码译码后通过数码器显示出来。
3.2方案二:同方案一一样,不过改变了多谐振荡器电路,使之更加简明容易操作,同时加入复位开关,优化定时器功能。
3位数字显示计时定时器
3位数字显示计时定时器1 3位数字显示计时定时器概述3位数字显示计时定时器是一个典型的利用数字系统的例子。
所谓数字系统,是指由若干数字电路及逻辑部件组成并且能够进行采集、加工、处理及传送数字信号的设备。
一个完整的数字系统通常由输入电路、输出电路、控制电路、若干个子系统和时基电路等部分组成。
而本课题中设计的3位数字显示计时定时器则分别有4个子系统组成:秒脉冲时间标准产生电路、计数器、译码器和显示器、开机自动清零电路、计时启停控制电路。
该数字系统具有计时功能。
能随时控制计时器的启动和停止,保持计时显示结果。
还具备开机自动复零功能。
它的最大显示时间为9分59秒,计时和定时时间都是精确到秒。
2 3位数字显示计时定时器系统设计2.1系统框图由技术指标要求可知,该数字系统的功能主要是实现可控计时和定时报警。
为此,可将系统分解为下列几个部分组成:(1)秒脉冲时间标准产生电路。
由振荡器产生固定频率的矩形脉冲经分频器获得秒脉冲,提供计时和定时的时间标准(时标信号)。
(2)计数器、译码器和显示器。
由于最大计时器容量为9分59秒,因此,需要3位计数器。
最低位为秒个位,次低位为秒十位,最高位为分位。
秒个位对输入的秒脉冲进行计数,其进位信号送至秒十位计数,最后送给分位计数,并通过译码器和显示器显示出所计的时间。
(3)开机自动清零电路。
提供开机清零信号,使电路的初态为0态。
(4)计时启停控制电路。
提供控制振荡信号能否进入分频器的控制信号。
图一计时定时器总体方框图2.2单元电路原理分析2.2.1 秒脉冲时标信号产生电路选用由CMOS集成门组成的RC振荡电路,以产生固定频率的矩形脉冲信号,经分频器分频后输出为1Hz的秒脉冲时标信号。
电路如图二所示。
振荡电路生成的脉冲对动态扫描显示电路影响不大,这里选用门电路构成的多谐振荡器输出的脉冲作用为节拍发生器的时钟。
如图三所示是由4096构成的方波自激振荡器电路。
R t是振荡电阻,C t是振荡电容,R s是补充电阻。
PIC单片机课程设计-三位倒计时器(含全部汇编程序清单)
主循环程序:循环读取按键状 态,根据按键状态执行相应的 操作
初始化程序:设置定时器、 中断、I/O口等
定时器中断服务程序:定时器 中断发生时,更新倒计时值,
并判断倒计时是否结束
显示程序:根据倒计时值, 更新LED显示内容
错误处理程序:处理可能出现 的错误,如按键错误、定时器
错误等
初始化显示模块: 设置显示模式、亮 度、对比度等参数
电源模块的设计原 则:安全、稳定、 高效
电源模块的选型: 根据系统需求选择 合适的电源模块
初始化:设置定时器、中断、I/O口等 循环:循环读取定时器值,判断是否达到预设时间 显示:根据定时器值,更新显示内容 处理中断:处理定时器中断,更新定时器值 循环结束:当定时器值达到预设时间,循环结束,显示“时间到”信息
添加标题
设计思路:根据输入信号的类型和 数量,选择合适的输入接口和处理 方式
注意事项:确保输入信号的稳定性 和准确性,避免误操作或干扰导致 的错误输入
中断源:外部中断、定时器中断、串口中断等 中断优先级:根据需求设置中断优先级 中断处理:根据中断源执行相应的处理程序 中断返回:处理完成后返回主程序或等待下一个中断
调试方法:单步 调试、断点调试、 观察变量等
常见问题:程序 运行异常、死机、 数据错误等
调试技巧:设置 合理的断点、观 察变量变化、分 析程序逻辑等
测试功能:倒计时 功能、显示功能、 报警功能等
测试方法:手动测 试、自动测试、压 力测试等
测试工具:示波器 、逻辑分析仪、万 用表等
测试结果:记录测 试数据,分析测试 结果,找出问题并 解决
测试目的:验证倒计时器的性 能和稳定性
测试环境:实验室环境或实际 应用环境
数字电子计时器课程设计
数字电子计时器课程设计一、课程目标知识目标:1. 学生能理解数字电子计时器的原理和组成,掌握基础电子元件的功能和使用方法。
2. 学生能描述数字电子计时器的工作过程,包括计时、清零和预设功能。
3. 学生能够解释数字电子计时器中数字显示的原理,理解二进制与十进制的转换。
技能目标:1. 学生能够运用所学的电子元件,设计并搭建一个简单的数字电子计时器电路。
2. 学生通过实际操作,掌握测试和调试电子计时器的方法,能够解决基本的故障问题。
3. 学生能够运用逻辑思维和问题解决技巧,对电子计时器进行改进和创新。
情感态度价值观目标:1. 学生通过课程学习,培养对电子技术的兴趣和好奇心,增强对科学探究的热情。
2. 学生在小组合作中,学会分享观点,倾听他人意见,发展团队协作精神。
3. 学生通过实际操作,体验工程的实用性,培养工程意识,认识科技对生活的影响。
课程性质:本课程为实践性强的设计与制作课程,结合电子技术基础知识,培养学生的动手能力和创新思维。
学生特点:假设学生为八年级,具有一定的物理基础和动手能力,对电子技术有初步认识,对实践活动充满兴趣。
教学要求:课程应注重理论与实践相结合,鼓励学生主动探索和动手实践,强调安全操作和精确测量。
通过课程目标的实现,使学生能够综合运用所学知识,创造性地解决实际问题。
二、教学内容1. 数字电子计时器原理:- 介绍计时器的功能与组成,参照教材第二章“数字电路基础”。
- 讲解晶体管、集成电路等基本电子元件的工作原理。
2. 数字电子计时器电路设计:- 分析计时器电路图的构成,参照教材第四章“时序逻辑电路”。
- 指导学生进行电路图的绘制,选择合适的电子元件。
3. 数字显示原理与转换:- 详述七段显示器的显示原理,参照教材第三章“数字显示技术”。
- 解释二进制与十进制的转换方法,并进行实际操作演示。
4. 电路搭建与测试:- 安排学生分组进行电路搭建,参照教材第五章“电路搭建与调试”。
- 教授测试与调试技巧,指导学生解决电路中可能出现的问题。
三位数字显示计时定时器设计
课程设计报告课程设计名称:三位数字显示计时定时器设计专业班级:学生姓名:学号:同组人员:指导教师:课程设计时间: 2周目录1 设计任务、要求以及文献综述 (1)2 原理叙述和设计方案 (1)2.1 设计方案选择和论证 (1)2.2 电路的功能框图及其说明 (1)2.3 功能块及单元电路的设计、计算与说明 (2)2.4 总体电路原理图 (4)3 电路的仿真与调试 (4)3.1 电路仿真 (4)3.2 调试中出现的问题及解决方法 (5)4 制作与调试 (6)4.1元件清单、实物照片 (6)4.2制作与调试过程中遇到的问题及解决办法 (7)5心得体会 (7)6 参考文献 (8)附录 (9)三位数字显示计时定时器设计1 设计任务、要求以及文献综述3位数字显示计时定时器是一个典型的利用数字系统的例子。
所谓数字系统,是指由若干数字电路及逻辑部件组成并且能够进行采集、加工、处理及传送数字信号的设备。
一个完整的数字系统通常由输入电路、输出电路、控制电路、若干个子系统和时基电路等部分组成。
设计要求如下:1、计时功能。
能任意启停,保持计时结果;2、开机自动复位;3、最大计时显示为9分59秒;4、定时报警。
2 原理叙述和设计方案2.1 设计方案选择和论证方案一:设计的3位数字显示计时定时器则分别有4个子系统组成:秒脉冲时间标准产生电路、计数器、译码器和显示器、开机自动清零电路、计时启停控制电路方案二:实现一个三位数字显示的秒表系统,单片机,lcd1602显示屏,矩阵键盘等组成部分。
秒计数电路满60向分计数电路进位(显示00~59s),分计数电路满足10(显示0~9)后停止并且灯亮,等待重新复位计时。
论证:方案二比方案一好。
理由一:方案二显示的最终结果比较直观。
理由二:方案二可更改性好,方便日后的改进。
2.2 电路的功能框图及其说明根据原理正确、易于实现、且实验室有条件实现的原则确定设计方案,画出总体设计功能框图,如图1所示。
3位计时电路设计
功能 异步清 零 同步 置 数 保持(包括CO的状态) 保持(CO=0) 同步计数
2.用集成计数器构成任意进制计数器的方法 1)反馈复位法(清零法) 控制异步清零端CLR来获得任意进制计数器。
D0 D1 D2 D3
Q3 Q2Q1Q0
0000 1000 0001 0010
1 CLK
CLR LD ENT ENP C
R M1 M2 3CT=9 G3 G4 C5/2,3,4+
CO
&
Q0
1,5D
[1] [2] [4] [8]
Q1 Q2 Q3
原理图
思考:在multisim仿真实验中为若用清零法秒十位何只能出现 如下循环?
0000 0001
0011
0010
从0011到0100变化时,存在中间状态0111,此时清零端为低 电平,异步清零,电路直接进入0000状态。
在基本功能电路的基础上,增加快速校分功能和整分报时 功能。
*校分功能:可对分进行校正,使其显示正确的时间;
*报分功能:当时间到达整分前5秒进行报时,持续5秒钟。
三、实验原理
1.74160的逻辑符号及逻辑功能:a. 异步清零 b. 同步置数 74160 c. 保持 CTRDIV10 d. 同步计数 CT=0
M1 M2 3CT=9 G3 G4 C5/2,3,4+
CLR
LD ENT ENP
RCO
74160功能表
CLK CLR LD Q0 Q1 Q2 Q3
CLK
D0 D1 D2 D3
1,5D
[1] [2] [4] [8]
× ↑ × × ↑
0 1 1 1 1
× 0 1 1 1
ENP ENT
三位数显示计时器定时器数电课程设计
文档大全沈阳航空航天大学课程设计三位数字显示计时器定时器设计班级学号学生姓名指导教师文档大全沈阳航空航天大学课程设计任务书课程名称电子线路课程设计课程设计题目三位数字显示计时器定时器设计课程设计的内容及要求:一、设计说明与技术指标设计一个三位数字显示计时器、定时器电路,技术指标如下:①计时、定时能够任意启停,保持计时、定时结果;②开机自动复位;③最大显示时间为9分59秒;④设置时间,定时报警;二、设计要求1.在选择器件时,应考虑成本。
2.根据技术指标,通过分析计算确定电路和元器件参数。
3.画出电路原理图(元器件标准化,电路图规范化)。
三、实验要求1.根据技术指标制定实验方案;验证所设计的电路,用软件仿真。
2.进行实验数据处理和分析。
四、推荐参考资料1. 童诗白,华成英主编.模拟电子技术基础.[M]北京:高等教育出版社,2006年五、按照要求撰写课程设计报告文档大全成绩评定表: 序号 评定项目 评分成绩 1 设计方案正确,具有可行性,创新性(15分)2 设计结果可信(例如:系统分析、仿真结果)(15分)3 态度认真,遵守纪律(15分)4 设计报告的规范化、参考文献充分(不少于5篇)(25分) 5答辩(30分)总分最终评定成绩(以优、良、中、及格、不及格评定)指导教师签字:2016年 12月 31日文档大全一、概述此次课设题目为及时定时系统,计时器和定时器在人们日常生活中有着广泛的应用, 本次课程就是设计利用555定时器以及数字逻辑芯片和数码管实现数字电子计时器和定时器功能,能够满足基本的功能要求,电路要求由可控脉冲发生器、计数电路,显示数码管电路以及报警电路组成。
旨在通过这次课程设计实现知识的活学活用,能够将知识运用到实践中去,数字电路分为组合电路和逻辑电路,而本次课设则是基于对逻辑同步或者异步知识的掌握,能够根据题目选用相应的芯片,设计相应的电路,是本次实验最大的目的。
二、方案论证方案一:使用数字电路的原理设计本方案,方案一利用555定时器以及数字逻辑芯片和数码管实现数字电子计时器和定时器功能,电路要求由可控脉冲发生器、计数电路,显示数码管电路以及报警电路组成。
计时显示电路课程设计文档
计时显示电路课程设计文档一、课程目标知识目标:1. 让学生理解计时显示电路的基本原理,掌握计时器集成电路的使用方法。
2. 使学生掌握数字电路中计数器、译码器、显示器件等基本组成部分的工作原理及其相互关系。
3. 引导学生掌握计时显示电路的设计方法,能够完成简单的计时显示电路搭建。
技能目标:1. 培养学生运用所学知识分析问题、解决问题的能力,能够运用数字电路知识设计简单的计时显示电路。
2. 提高学生的动手操作能力,学会使用面包板、集成电路、电阻、电容等元器件搭建电路。
3. 培养学生的团队合作能力,学会在小组合作中共同解决问题。
情感态度价值观目标:1. 培养学生对电子技术的兴趣,激发学生探索科学的精神。
2. 培养学生严谨、踏实的科学态度,养成认真观察、仔细分析的良好习惯。
3. 引导学生认识到科技发展对生活的影响,培养创新意识和实践能力。
分析课程性质、学生特点和教学要求,本课程目标旨在使学生在理解计时显示电路基本原理的基础上,通过动手实践,提高学生的技能水平和解决问题的能力,同时培养对电子技术的兴趣和科学精神,使学生在知识与技能、过程与方法、情感态度价值观等方面得到全面提升。
二、教学内容本课程教学内容主要包括以下三个方面:1. 计时显示电路基本原理- 介绍计时器集成电路的工作原理及功能。
- 阐述计数器、译码器、显示器件等基本组成部分及其相互关系。
- 分析计时显示电路的电路图,理解电路的工作过程。
2. 电路设计与搭建- 讲解计时显示电路的设计方法,引导学生学会自主设计电路。
- 指导学生使用面包板、集成电路、电阻、电容等元器件搭建电路。
- 分析并解决电路搭建过程中可能遇到的问题。
3. 动手实践与团队合作- 安排学生进行小组合作,共同完成计时显示电路的设计与搭建。
- 鼓励学生相互交流、探讨,培养团队合作精神。
- 组织课堂展示,让学生分享自己的设计成果。
教学内容依据教材相关章节进行组织,具体安排如下:- 章节一:计时器集成电路及其功能- 章节二:计数器、译码器、显示器件基本原理- 章节三:计时显示电路设计与搭建- 章节四:动手实践与团队合作教学内容确保科学性和系统性,使学生能够逐步掌握计时显示电路的相关知识,提高实践能力。
三位数字显示的计时系统(课程设计)
湖南工程学院课程设计课程名称数字电子技术课题名称三位数字显示的计时系统专业电气工程及其自动化班级学号姓名指导教师2013年12 月27 日湖南工程学院课程设计任务书课程名称:数字电子技术题目:三位数字显示的计时系统专业班级:班学生姓名:学号:指导老师:审批:任务书下达日期2013 年12 月16 日星期一设计完成日期2013 年12 月27 日星期五设计内容与设计要求一、任务与要求:设计并制作一个简易的三位数字显示计时系统,供运动员比赛计时用,要求如下:1、精确到秒,最大计时为9分59秒;2、开机时自动清零;3、具有启停输入控制功能,按下启停输入控制键时,开始计时,再次按下时,停止计时。
4、用7段数码管显示时间;5、功能扩展(自选)二、设计要求:1、设计思路清晰,给出整体设计框图;2、设计各单元电路,给出具体设计思路、电路器件;3、总电路设计;4、计算机仿真5、安装调试电路;6、写出设计报告;主要设计条件1.提供直流稳压电源、示波器;2.提供 TTL集成电路芯片、电阻、电容及插接用面包板、导线等。
说明书格式1、课程设计封面;2、课程设计任务书;3、说明书目录;4、设计总体思路,基本原理和框图;5、单元电路设计(各单元电路图);6、总电路设计(总电路图);7、安装、调试步骤;8、故障分析与电路改进;9、总结与设计调试体会;10、附录(元器件清单);11、参考文献;12、课程设计成绩评分表。
进度安排第一周星期一上午安排任务、讲课。
星期一~星期五上午查资料、设计第二周1、计算机仿真2、测试元器件3、调试单元电路4、调试总电路5、调试验收6、写课程设计报告书星期五下午答辩地点:实验楼四楼电子综合实验室参考文献《电子技术课程设计》历雅萍、易映萍编《电子技术课程设计指导》彭介华、主编高等教育出版社《电子线路设计、实验、测试》谢自美主编华中理工出版社。
目录一、设计总体思路,基本原理和框图 (1)1、设计总体思路 (1)1) 主电路 (1)2) 控制电路 (1)2、基本原理及框图 (2)1) 基本原理 (2)2)设计整体框图 (3)二、单元电路设计 (4)1、函数信号发生器 (4)2、计时单元电路 (4)3、显示电路 (5)六、总电路设计 (6)七、安装、调试步骤 (7)八、故障分析与电路改进 (8)1、故障产生原因 (8)2、故障的分析方法 (8)3、电路的改进 (9)九、总结与设计调试体会 (10)十、附录 (13)十一、参考文献 (14)一、设计总体思路,基本原理和框图1、设计总体思路1) 主电路用3个7段数码管显示时间,3块74LS192同步加减计数器实现计时功能,时钟脉冲用555定时电路产生秒脉冲,1块74LS76实现清零和启停功能。
三位数字显示的计时系统课程设计
湖南工程学院计设课程数字电子技术课程名称三位数字显示的计时系统课题名称业电气工程及其自动化专级班号学姓名指导教师12 2013年月27 日湖南工程学院课程设计任务书课程名称数字电子技术:题目:三位数字显示的计时系统专业班级:班学生姓名:学号:指导老师:审批:任务书下达日期2013 年12 月16 日星期一设计完成日期2013 年12 月27 日星期五目录一、设计总体思路,基本原理和框图 (1)................................................ 1、设计总体思路 11) 主电路. (1)2) 控制电路 (1).............................................. 2 2、基本原理及框图1)基本原理 (2)2)设计整体框图 (3)二、单元电路设计 (4)1、函数信号发生器 (4)2、计时单元电路 (4)3、显示电路 (5)六、总电路设计 (6)七、安装、调试步骤 (7)八、故障分析与电路改进 (8)1、故障产生原因 (8)2、故障的分析方法 (8)3、电路的改进 (9)九、总结与设计调试体会 (10)十、附录 (13)十一、参考文献 (14)一、设计总体思路,基本原理和框图1、设计总体思路1) 主电路用3个7段数码管显示时间,3块74LS192同步加减计数器实现计时功能,时钟脉冲用555定时电路产生秒脉冲,1块74LS76实现清零和启停功能。
2) 控制电路按下清零按钮后,使74LS192的清零端为高电平,使其清零。
按下暂停/继续按钮后,使74LS192的CP端为高电平,不再有上升沿输入,使其保持之前的数据不变,再次按下按钮后,74LS192的 CP端为低电平,当下一个高电平输入时,将有上升沿,使74 LS192计数,从而实现暂停/继续功能。
12、基本原理及框图1) 基本原理设计说明:三位数字显示计数系统电路一般由时钟信号输入装置(发生秒脉冲)、计数器、数字显示装置、和控制电路几大部分组成。
三位数字显示计时器
专业班级 学号 姓名 成绩一、实验名称 3位数字显示计时系统 二、设计任务与要求设计一个3位数字显示时间计数系统,以供运动员比赛用。
要求精确到秒最大计时9分59秒。
可以用按钮开关控制计时器的启动、停止及清零,开机时可以自动清零。
三、实验器件1.74LS90 74LS082.数字电路试验箱四、方案设计数字显示计时系统是通过控制电路使用加法计数器对连续脉冲进行计数,而加法计数器通过译码器来显示它所记忆的脉冲周期个数。
1.连续脉冲产生连续脉冲可选用555定时器构成的多谐振荡器产生,用555定时器构成的多谐振荡器,定时元件是电阻和电容,普通器件误差较大易受温度变化的影响,对于对时间要求高的应用场合,其误差较大。
连续脉冲也可选用石英晶体振荡器通过计数器分频产生,可获得精确的秒脉冲信号。
2.技术及译码显示加法计数器构成电子秒表的计数单元,首先用一个分频器对多谐振荡器产生的脉冲信号进行分频,然后将输出端取得周期为1s 的矩形脉冲送入计数器中,计数器都接成8421码十进制形式,其输出端与译码显示单元的相应输入端连接,可显示0~59秒;0~9分计时。
3.控制部分控制部分用来控制计时器的清零、计时、停止。
采用三位环形计数器来实现,环形计数器的输出分别作为计数部分的清零信号、计时信号和停止信号。
……………………………………装………………………………………订…………………………………………线………………………………………五、实验原理1.系统框图如下2.系统工作原理实现一个三维数字显示的秒表系统,需要振荡器(脉冲冲源)、秒计数电路季译码显示电路等组成部分。
秒计数电路满60向分计数电路进位(显示00~59s),分计数电路满足10(显示0~9)后清零,等待重新计时。
控制开关为两个;启动(继续)/暂停计时开关和复位开关。
其中:(1)显示器:采用三片LED显示器把各位的数值显示出来,是秒表最终的输出,有分、秒和毫秒位。
(2)计数器:对时钟信号进行计数并进位,毫秒和秒之间10进制,秒和分之间60进制。
三位数字显示计时定时器课程设计
三位数字显示计时定时器课程设计一、引言计时定时器是一种常见的电子设备,它能够准确地显示时间并进行定时操作。
本文将介绍一种以三位数字显示的计时定时器的课程设计方案,通过这个课程设计,学生将学习到数字显示、定时器控制等相关知识。
二、设计目标本次课程设计的目标是设计一个以三位数字显示的计时定时器,能够实现精确的时间显示和定时操作。
具体要求如下:1. 使用三位数的数码管进行显示,能够显示小时、分钟和秒数;2. 能够通过按钮进行时间的设置和调整;3. 能够进行定时操作,到达设定时间后能够触发相应的提示或动作。
三、硬件设计1. 数码管:使用三位数的数码管进行显示,每位数码管能够显示0-9的数字。
2. 按钮:使用按钮进行时间的设置和调整,包括设置小时、分钟和秒数。
3. 定时器:使用定时器芯片进行定时操作,能够精确计时,并能够触发相应的提示或动作。
四、软件设计1. 数字显示:通过控制数码管的引脚,将需要显示的数字发送到数码管上进行显示。
2. 时间设置:通过按钮进行时间的设置和调整,包括设置小时、分钟和秒数。
3. 定时操作:使用定时器芯片进行定时操作,到达设定时间后触发相应的提示或动作。
五、实验步骤1. 连接硬件:将数码管、按钮和定时器芯片连接到单片机开发板上。
2. 编写代码:使用合适的编程语言编写程序,实现数字显示、时间设置和定时操作的功能。
3. 调试程序:将程序下载到单片机开发板上,进行调试,确保各个功能正常运行。
4. 测试功能:通过设置不同的时间和定时操作,测试程序的功能是否符合设计要求。
5. 优化设计:根据测试结果对程序进行优化,提高其稳定性和可靠性。
六、实验效果经过实验,我们成功实现了以三位数字显示的计时定时器。
通过按钮可以设置时间,并且能够精确显示当前的时间。
在设定的时间到达后,定时器能够触发相应的提示或动作,实现了定时操作的功能。
七、实验总结通过本次课程设计,学生掌握了数字显示、时间设置和定时操作等相关知识。
3位计时器
南京理工大学紫金学院
数字电路仿真实验报告
三位计时电路设计
姓名:
学号:
专业:
日期:
一. 实验目的
(1)掌握74160等计数芯片的逻辑功能及使用方法。
(2)了解3位计时电路的组成及工作原理。
二. 实验内容
(1)利用同步十进制计数器74160设计一个带显示功能的3位计时
电路,电路可正常显示秒个位、秒十位、秒分位。
(2)在基本功能电路的基础上,增加快速校分功能和整分报时功能。
报分功能:当时间到达整分前5秒进行报时,持续5分钟,即55秒、57秒、59秒分别报时,用发光二极管显示。
三. 实验设计原理图
四. 结论与体会
(1)本次实验失败之处及其原因分析
本次实验的失败之处在于我一开始用的是异步置数,结果产生了时差,后来我就又改变电路,用同步做。
(2)对本实验的可改进的地方的建议(选做)
我个人觉得实验是比较好的,可以锻炼我的动手能力,加深了我对电路的理解,既丰富了我的知识,又提高了动手能力。
只不过课程比较少,希望多多增加这种实验课。
数电课程设计数显定时器
数电课程设计数显定时器一、教学目标本课程旨在通过数电课程设计数显定时器的教学,使学生掌握数字电路的基本原理和设计方法,培养学生的实际操作能力和创新思维能力。
在知识目标方面,要求学生掌握数显定时器的工作原理和电路设计方法,了解数字电路的基本组成和功能。
在技能目标方面,要求学生能够独立完成数显定时器的电路设计和制作,提高学生的实际操作技能。
在情感态度价值观目标方面,通过课程的学习,使学生培养对数字电路设计和制作的兴趣,增强学生的创新意识和团队协作能力。
二、教学内容本课程的教学内容主要包括数显定时器的工作原理、电路设计方法和制作过程。
首先,介绍数显定时器的基本原理和功能,使学生了解数显定时器的工作过程。
然后,讲解数显定时器的电路设计方法,包括数字电路的基本组成、电路图的绘制和元件的选择等。
最后,通过实际操作,使学生掌握数显定时器的制作过程,培养学生的实际操作能力。
三、教学方法为了提高教学效果,本课程将采用多种教学方法相结合的方式进行教学。
首先,采用讲授法,向学生讲解数显定时器的基本原理和电路设计方法。
其次,采用讨论法,引导学生进行思考和讨论,提高学生的创新思维能力。
再次,采用案例分析法,分析实际案例,使学生更好地理解和掌握数显定时器的制作过程。
最后,采用实验法,让学生亲自动手制作数显定时器,提高学生的实际操作能力。
四、教学资源为了支持本课程的教学,我们将准备一系列的教学资源。
教材方面,我们将使用《数字电路》作为主教材,辅助以相关的参考书籍和资料。
多媒体资料方面,我们将制作PPT和教学视频,以图文并茂的形式展示数显定时器的工作原理和电路设计方法。
实验设备方面,我们将准备数显定时器实验套件,供学生进行实际操作和制作。
通过这些教学资源的准备和利用,我们将为学生提供丰富的学习体验,提高学生的学习效果。
五、教学评估为了全面、客观地评估学生在数电课程设计数显定时器的学习成果,我们将采用多种评估方式相结合的方法。
首先,通过平时表现评估学生的学习态度和参与程度,包括课堂表现、小组讨论和实验操作等。
三位计时器
EDA技术与应用实验报告一、实验目的1、学习利用顺序语句描述电路的方法。
2、学习进程、常用顺序语句的使用。
3、掌握分频电路的设计;掌握利用不完整条件语句构成时序逻辑电路的方法。
二、实验内容1、设计三位计时器,计时范围0时-9分59秒,要求电路具有启动,停止,复位三个按钮,时钟频率为1HZ,要求计时器的时间能在数码管上显示。
2、在上述计时电路设计的基础上,修改VHDL代码,要求只用一个按钮轮流实现启动、停止按钮,若连续按该键超过2秒,电路就复位。
3、利用实验箱验证所设计电路的正确性。
三、实验原理1、实验框图:四、实验代码library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity clock isport(clk,clr,start,stop:in std_logic;sec0,sec1,min0:out std_logic_vector(3 downto 0)); end;architecture rhg of clock isbeginprocess(clk,clr,stop,start)variable temp1:integer range 0 to 10;variable temp2:integer range 0 to 6;variable temp3:integer range 0 to 10;beginif(clr='1')thentemp1:=0;temp2:=0;temp3:=0;elsif(stop='1')thentemp1:=temp1;temp2:=temp2;temp3:=temp3;elsif(clk'event and clk='1')thenif(start='1')thentemp1:=temp1+1;if(temp1=10)thentemp1:=0;temp2:=temp2+1;if(temp2=6)thentemp2:=0;temp3:=temp3+1;if(temp3=10)thentemp3:=0;end if;end if;end if;end if;end if;case temp1 iswhen 0=>sec0<="0000";when 1=>sec0<="0001";when 2=>sec0<="0010";when 3=>sec0<="0011";when 4=>sec0<="0100";when 5=>sec0<="0101";when 6=>sec0<="0110";when 7=>sec0<="0111";when 8=>sec0<="1000";when 9=>sec0<="1001";when others=>null;end case;case temp2 iswhen 0=>sec1<="0000";when 1=>sec1<="0001";when 2=>sec1<="0010";when 3=>sec1<="0011";when 4=>sec1<="0100";when 5=>sec1<="0101";when 6=>sec1<="0110";when others=>null;end case;case temp3 iswhen 0=>min0<="0000";when 1=>min0<="0001";when 2=>min0<="0010";when 3=>min0<="0011";when 4=>min0<="0100";when 5=>min0<="0101";when 6=>min0<="0110";when 7=>min0<="0111";when 8=>min0<="1000";when 9=>min0<="1001";when others=>null;end case;end process;end rhg;五、电路仿真结果六、管脚配制。
数字电子线路课程设计三位数字显示器课程设计
太原理工大学数字电路逻辑设计课程设计报告书课题名称三位数字显示计时系统姓名邢剑卿学号 2008001316专业班级通信0802三位数字显示器课程设计一、设计目的:了解计时器主体电路的组成及工作原理。
1.熟悉集成电路及有关电子元器件的使用。
2.在Multisim仿真软件上仿真并成功运行。
3.通过实际电路方案的分析比较,设计计算,元件选取,安装调试等环节,初步掌握简单实用电路的分析方法和工程设计方法。
二、设计任务与要求:设计一个三位数字显示的时间计时系统(秒表),以供运动员比赛用。
要求:(1)以1秒为最小单位进行显示;(2)秒表由3三位数码管显示,最大计时9分59秒。
(3)具有清零、启动计时、暂停计时、继续计时等控制功能。
(4)除了以上功能,个人可根据具体情况进行电路功能扩展。
三、设计原理:实现一个三位数字显示的秒表系统,需要振荡器(脉冲冲源)、秒计数电路及译码显示电路等组成部分。
秒计数电路满60向分计数电路进位(显示00~59s),分计数电路满足10(显示0~9)后清零,等待重新计时。
控制开关为两个:启动(继续)/暂时计时开关和复位开关。
原理框图如下:图为秒表原理框图设计内容:1.搭接电子秒表的整体设计电路;2.校准1秒信号源;3.测试电子秒表清零、开始计时、停止计时功能。
电路分析:实验电路图如下所示:计数器:计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时,分频和执行数字运算以及其它特定的逻辑功能. 计数器种类很多按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器.根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器.根据计数的增减趋势,又分为加法,减法和可逆计数器.还有可预置数和可编程序功能计数器等等四. 设计步骤:1、测试调整:按照设计原理图进行连接实际元件连接,依次对各部分进行测试:(1)测试160芯片各管脚是否正常。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
沈阳航空航天大学课程设计三位数字显示计时器定时器设计班级学号学生姓名指导教师沈阳航空航天大学课程设计任务书课程名称电子线路课程设计课程设计题目三位数字显示计时器定时器设计课程设计的内容及要求:一、设计说明与技术指标设计一个三位数字显示计时器、定时器电路,技术指标如下:①计时、定时能够任意启停,保持计时、定时结果;②开机自动复位;③最大显示时间为9分59秒;④设置时间,定时报警;二、设计要求1.在选择器件时,应考虑成本。
2.根据技术指标,通过分析计算确定电路和元器件参数。
3.画出电路原理图(元器件标准化,电路图规范化)。
三、实验要求1.根据技术指标制定实验方案;验证所设计的电路,用软件仿真。
2.进行实验数据处理和分析。
四、推荐参考资料1. 童诗白,华成英主编.模拟电子技术基础.[M]北京:高等教育出版社,2006年五、按照要求撰写课程设计报告成绩评定表:指导教师签字:2016年12月31日一、概述此次课设题目为及时定时系统,计时器和定时器在人们日常生活中有着广泛的应用,本次课程就是设计利用555定时器以及数字逻辑芯片和数码管实现数字电子计时器和定时器功能,能够满足基本的功能要求,电路要求由可控脉冲发生器、计数电路,显示数码管电路以及报警电路组成。
旨在通过这次课程设计实现知识的活学活用,能够将知识运用到实践中去,数字电路分为组合电路和逻辑电路,而本次课设则是基于对逻辑同步或者异步知识的掌握,能够根据题目选用相应的芯片,设计相应的电路,是本次实验最大的目的。
二、方案论证方案一:使用数字电路的原理设计本方案,方案一利用555定时器以及数字逻辑芯片和数码管实现数字电子计时器和定时器功能,电路要求由可控脉冲发生器、计数电路,显示数码管电路以及报警电路组成。
使用555多谐振荡器产生脉冲信号,使用数字芯片进行计数,通过LED进行报警最后使用显示译码器显示计数。
所以一共有四部分组成。
总体电路的原理框图1 图方案二:方案二采用单片机编程进行设计实现计时器或者定时器的设计。
本设计采用的是方案一,由于本学期只掌握了数字电路的学习,对于单片机的知识有生疏,所以采取方案一实现计时器定时器的设计。
三、电路设计3.1电路设计总体方案3.1.1设计基本思路本次设计功能为计时器与定时器,对于计时器来说,可以认为是一个计数器的应用,通过脉冲源产生方波信号,让信号作用于计数芯片实现计数功能,同时,为了满足三位数显示计时器,需要用到数码管,为了实验电路的简单可操作性,采用自带译码器功能的显示数码管,通过计数器的串行连接以及对于进制的设置实现最大输出9:59。
控制电路采用简易开关控制脉冲的接入,可以随时暂停,启动。
报警系统采用发光二极管实现,通过高低电平实现对于二极管亮暗的控制。
定时器在报警电路,脉冲源,控制电路中使用相同的元器件,但是计数功能发生改变,通过使用74LS192实现减法计数器的功能,用置数法实现最大值9:59的设置。
3.1.2 设计总流程图图2 总体电路的原理框图3.2 555多谐振荡器,74LS160,74LS192介绍3.2.1 555多谐振荡器555多谐振荡器采用555芯片,通过电阻和电容的计算周期,使之产生周期脉冲,555多谐振荡器的3引脚为脉冲输出口,将之引入计数器脉冲端,从而实现计数功能。
多谐振荡器电路框图555图3 3.2.2 74LS16016为可预置的十进制计数器,共54/7416054/74LS160两种线路结构型其主要电器特性的典型值如3-1不同厂家具体值有差): 74LS16的主要电器特异步清零/MR1为低电平时,不管时钟C信号状态如何,都可以完成清零功能16的预置是同步的当置入控制/P为低电平时C上升沿作用下输出Q0-Q与数据输入P0-P一致3.2.3 74LS192192为十进制可逆加减法计数器,通过使能端的控制,实现加减法控制,通过555脉冲加入脉冲端实现计数,从而控制显示译码器产生十进制数。
定时器电路采用的是双时钟方式的十进制可逆计数器74LS192,其中74LS192的VCC与分别为电源、地引脚;P0、P1、P2、P3为并行数据输入端;Q0、Q1、Q2、Q3为数据输出端;CPD为减法计数脉冲输入端;PL为异步预置输入控制端(低电平有效);MR为异步清零复位输入控制端(高电平有效);TCU为进位输出端(低电平有效);TCD为借位输出端(低电平有效)。
3.3 数字逻辑控制,脉冲信号产生,计数器计数和数码管显示模块3.3.1报警系统模块计时器运用的报警原理为:本次报警实现的是60s报警的任务,计时器为从0:00开始计时,当计时器计时到8:59时,根据进位要求,接下来的状态为9:00,即当分位显示为9是,即为00到59的60s计时,故只要满足分位为9即可满足60s计时的报警功能,分位为9即1001,当输出为1001是与门输出为高电平,发光二极管阴极接地,阳极为高电平,导通,发光报警。
计时器报警电路的框图图4定时器同样实现的最后60s报警的功能,由于定时器为从9:59开始倒计时,故当分位显示为0时候,后两位为59,即可实现从59-00的倒计时功能,当分位为0是,二进制为0000,输出为0,通过反相器呈现高电平,加到二极管的阳极,阴极接地,二极管导通,故发光报警。
定时器报警电路的框图5 图3.3.2脉冲信号产生模块多谐振荡器为自激振荡电路,可以利用自身的作用产生脉冲信号,常被用作信号源使用。
其中根据公式T=(R1+2*R2)*C*ln2以及秒脉冲的周期T=1s可以算出电阻R1=R2=47K,电容C=10uF。
多谐振荡器电路的波形图555图63.3.3计数器计数模块计数器计时通过74LS160实现十进制加法计数,后两位为60进制计数器,通过置零法加入与非门实现60进制,然后进位输出给第一位实现9:59的最大计时。
计时器运用置零法,计时器为从0:00开始到9:59为止,首先秒需要实现60进制,所以运用置零法,将第二个芯片变为0-5循环,原本的74LS160为十进制加法计数器,从0开始计数,接受到第6个脉冲之后变为0110,产生置零信号加入RD端,重新返回0即可实现0-5循环。
图7 计时器计数电路的原理框图计数器定时通过74LS192可逆十进制加减法计数器实现定时,忽略加法计数功能,实现减法计数器:的定时功能。
进制,进位输出到第一位最后实现0:00计数器的功能。
后两位同样为60计数器初态为0101,选择串行进位法,当进入1001状态下译出LD=0的信号加到置数端,下一个CLK到来前置入0101信号,从0101-1001进行循环,进制为5*10+10=60进制。
图8 定时器计数电路的原理框图3.3.4 显示器模块在显示译码器部分在仿真的时候采用带有译码功能的显示器进行计数,但是在硬件连接过程中发现没有实际物件,所以在硬件连接部分采用74LS47译码器驱动数码管进,可以直接与共阳极74LS47行显示。
数码管的驱动电路所采用是共阳极的七段译码器的数码管连接。
其中74LS47的OA、OB、OC、OD、OE、OF、OG脚为输出端分别接到数码管的A、B、C、D、E、F、G脚上。
图9 译码器显示电路仿真图四、性能的测试1.计时器测试:当启动时,打开开关,脉冲进入,显示数码管开始从0:00开始计时,直到显示9:59计时停止,性能良好,可以完美的显示所要的功能,当剩60s时,发光二极管亮灯,启动报警装置,通过开关实现开机复位以及随时暂停启动的功能。
停止电路仿真图定时器10 图9:592.定时器测试:当启动时,打开开关进行置数,显示数码管从9:59开始定时,直到显示为0:00停止,性能良好,当倒计时60s时启动发光二极管实现报警装置,通过开关配合实现开机自动复位以及暂停启动功能。
停止电路仿真图定时器0:00图113.多谐振荡器测试多谐振荡器电路测试数据表1表五、结论计时器电路有脉冲产生电路,计数电路,报警电路,控制电路四部分组成,有555多谐振荡器产生脉冲信号加到计数器芯片上,当开关闭合即为启动,加入方波信号,输入最后一个芯片中,从0-9开始循环,当最后一个芯片到达9后串行进位输入到第二个芯片中,芯片从0-5开始循环,到达五之后,秒进制变为60进制,分为第三个芯片开始启动从0变为1,显示译码器显示输出的数字即可。
定时器电路有脉冲产生电路,计数电路,报警电路,控制电路四部分组成,有555多谐振荡器产生脉冲信号加到计数器芯片上,当开关闭合即为启动,加入方波信号,开始,加入脉冲以后,从9输入最后一个芯片中,由于是减法计数器,初始状态为9开始循环结束后,,启动第二个芯片,第二个芯片从初始值的9-05减法计数,当从 0减法计数变为,然后传到第一个芯片开始启动。
六、性价比本次设计主要采用74LS160及74LS192芯片,相对而言,价格比较便宜,通过本次设计可以实现现实生活中的计时器与定时器功能,并且性能良好,可以随意控制,所以设计性价比优,可以广泛使用。
七、课设体会及合理化建议整个设计通过了软件和硬件上的调试,我想着对于自己以后的学习和生活都会有很大的帮助,在这次设计中遇到了很多实际性的问题,在实际设计中才发现,书本上的理论性的东西与实际操作还是有一定的出入,所以这些问题不但要深入的理解,而且还要不断的更正之前错误的思维,一切问题必须靠自己一点一滴的解决,而且在解决中你会感觉到自己飞速的提升。
通过这次课设我也发现自己的不足之处,虽然理论方面存在优势,但是在实践操作上还是有所差距。
参考文献[1] 阎石主编. 数字电子技术. [M]北京:高等教育出版社,2006年[2] 陈振官等编著. 新颖高效声光报警器. [M]北京:国防工业出版社,2005年[3] 童诗白编著,华成英主编.模拟电子技术基础.[M]北京:高等教育出版社,2006年[4] 王连英等编著,姜三勇主编.Multisim12电子线路设计与实验.[M]北京:高等教育出版社,2015年[5] 贾鹏编著,石会主编.数字电路与系统设计.[M]西安:西安电子科技大学出版社,2016年[6] 孙梅生编著.电子技术基础课程设计.[M]北京:高等教育出版社,2000年附录I 总电路图附录Ⅱ硬件实物图附录III 元器件清单。