EDA实验报告

相关主题
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

EDA

实验报告

姓名:汤灿亮

学号:2012118060 班级:1211自动化

实验一 QUARTUS Ⅱ的设计流程

一、实验目的:

1、掌握QUARTUSⅡ安装过程;

2、熟悉QUARTUSⅡ设计环境;

3、掌握QUARTUSⅡ的设计过程。

二、实验内容:

用文本输入法设计一个二进制加法器。

三、实验步骤:

(一)、创建工作文件夹

在windows中新建一个文件夹(又称工作库或WORK LIBRARY),用于保存设计工程项目的有关文件。注:设计工程项目的所有有关文件不能保存在根目录下,必须保存在一个文件夹之下。例如建立的文件夹:E:\CNT10 (二)、启动Quartus II

点击QUARTUSⅡ9.0图标打开QUARTUSⅡ9.0设计窗口。或点击QUARTUSⅡ9.0图标打开QUARTUSⅡ9.0设计窗口

(三)、设计文件输入

1、打开输入文件编辑器

点击菜单File\new…选择Verilog HDL file建立一个文本设计文件。用文本输入法输入程序。

2、保存文件,文件名同程序的模块名。后缀.v

(四)、全编译(逻辑综合)

1、创建工程

点击菜单File\New Project Wizard…….进行工程设置。完成工程文件夹的选定、工程名、顶层设计文件名(主程序)、编程器件的选择等工程设置。

2、编译前的相关设置设置

⑴选择PLD芯片:Assignmenmts\Settings\Device弹出的窗口中选择选择芯片。

⑵选择配置芯片的工作方式Assignmenmts\Settings\Device\Device&Pin Options弹出的窗口中首选General项,在Options栏中选择Auto-restart-configuration after error.

⑶选择配置芯片和编程方式:Assignmenmts\Settings\Device\Device&Pin Options弹出的窗口中选择Configuration栏,在窗口中设置配置方式,配置芯片和是否需要生成压缩的配置文件。

⑷选择输出设置:(1)-(4)项默认方式,可以不做任何操作,

⑸选择目标器件闲置引脚的状态:Assignmenmts\Settings\Device\Device&Pin Options弹出的窗口中选择Unused Pins栏,在窗口中对闲置的引脚设置,推荐设置为As input tri-stated。

3、执行全程编译:Processing\Start Compilation。完成对设计项目的检

错、逻辑综合、结构综合、配置文件生成以及时序分析。

(五)、功能仿真(或时序仿真)

建议先做功能仿真,以检验设计项目的逻辑真确性,这样可以提高设计效率。

1、功能仿真设置:Assignmenmts\Settings弹出的窗口中选择Simulator Settings。在右边Simulation mode中选择 Functional.

2、Processing\Generate Functional Simulation netlist,生成功能仿真所需的文件。

3、建立波形文件并进行功能仿真

⑴File\New,在窗口中选择Vector Waveform file打开向量波形文件编辑器。

⑵设置仿真时间区域:可默认。一般几十微妙。时间区域过长,使仿真时间变长,影响仿真效率。

⑶在向量波形文件编辑器中添加项目的相关引脚。原则上是所有引脚,但有的项目引脚很多,可以只添加必要的一些引脚。双击向量波形文件编辑器Name 栏的空白区域后,会弹出一个“Insert Node or Bus”对话框,在弹出的对话框中选择“Node Finder…”按钮,则弹出“Node Finder…”对话框,选择Filter:Pins:all,然后点击List,Nodes Found栏将列出所有输入、输出端口。选择要观察的信号,点击“>”命令按钮加入到观察目标窗口中。选择OK,则在波形图中加入了待观察信号的图形。

或者执行View\Utility Windows\Node Finder命令打开Node Finder窗口,在弹出的窗口中将所需引脚拖入波形编辑器中。

⑷编辑输入波形:对所有的输入引脚设置合适的波形。

⑸启动仿真器:Processing\Start Simulation.

⑹观察分析仿真结果。仿真结果保存于文件“Simulation Report”,此文件在仿真完成后会自动弹出。若仿真结果有出入,重新修改程序,直到仿真结果没有问题。

(六)、下载验证:

1、芯片选择ACEX1K\EP1K30QC208-2;

2、引脚锁定:

3、全编译;

4、下载线连接:将25针连下一端连接电脑LPT1口,一端连接到编程模块的DB25接口,再用十针连线一头插入通用编程模块JTGA 下载接口处,另一头连接到目标芯片的下载接口。

5、打开实验箱电源,将模式选择开关CTRL的(2)(4)(8)拨至ON,使按键KD1,KD2,LED1,LED2,LED3,LED4,LED5等有效。

6、下载:Tools\Programmer,完成下载。

7、拨动开关按键KD1,KD2验证电路。

四、实验程序及仿真结果

(一)、实验程序:

时序仿真结果:

波形文件及仿真:

五、实验箱现象描述

注:在程序正确,正确操作实验箱并成功下载并正常运行程序的前提下,现象为:实验箱上一排设定的LED灯,分别为4个表示四位二进制码,一个表示使能信号EN,一个表示复位信号RST,一个表示置数信号,一个进位位COUT,高电平时表示进位,四个用于置数的灯。EN信号高电平有效,低电平起保持作用,RST 低电平有效,起复位作用,LOAD信号低电平有效,起置数作用。

启动实验箱,让EN灯亮(高电平),RST灯亮(高电平),LOAD灯亮(高电平),此时表示四位二进制码的LED灯分别从0到9计数(约为1S记一个数),到10的时候,显示数的四个LED灯表示成0(全灭),进位位灯(COUT)闪动一次(表示进一位),如此反复。

使EN灯熄灭(低电平),显示数的灯停止变动,保持在它当前所表示的数值。恢复EN灯亮,继续计数。

使RST灯熄灭(低电平),显示数的灯立即变为全灭(表示复位为0)。

设置任意值,使LOAD灯熄灭(低电平),显示灯变成设置的数值,然后正常计数。

六、心得体会

在这次实验中,QUARTUS II软件是英文版的,一下基本功能在第一次中还是不够熟悉,通过问老师同学,慢慢的了解到QUARTUS Ⅱ软件的基本使用方法,以及从编写程序到下载到实验箱验证运行的基本流程,

相关文档
最新文档