数电试验说明
郑大数电实验报告
一、实验目的1. 理解数字电路的基本概念和基本原理。
2. 掌握数字电路中常用逻辑门电路的功能和特性。
3. 学会使用数字电路实验箱进行基本实验操作。
4. 培养动手实践能力和分析问题、解决问题的能力。
二、实验原理数字电路是由逻辑门电路组成的,用于处理数字信号的电路。
逻辑门电路是数字电路的基本单元,包括与门、或门、非门、异或门等。
本实验主要涉及以下逻辑门电路:1. 与门(AND Gate):只有当所有输入信号都为高电平时,输出信号才为高电平。
2. 或门(OR Gate):只要有一个输入信号为高电平,输出信号就为高电平。
3. 非门(NOT Gate):输入信号为高电平时,输出信号为低电平;输入信号为低电平时,输出信号为高电平。
4. 异或门(XOR Gate):只有当输入信号不同时,输出信号才为高电平。
三、实验仪器与设备1. 数字电路实验箱2. 电源3. 逻辑开关4. 测试灯5. 连接线四、实验步骤1. 与门实验:- 将与门输入端连接到逻辑开关。
- 通过逻辑开关控制输入信号,观察输出信号的变化。
- 记录实验数据,分析实验结果。
2. 或门实验:- 将或门输入端连接到逻辑开关。
- 通过逻辑开关控制输入信号,观察输出信号的变化。
- 记录实验数据,分析实验结果。
3. 非门实验:- 将非门输入端连接到逻辑开关。
- 通过逻辑开关控制输入信号,观察输出信号的变化。
- 记录实验数据,分析实验结果。
4. 异或门实验:- 将异或门输入端连接到逻辑开关。
- 通过逻辑开关控制输入信号,观察输出信号的变化。
- 记录实验数据,分析实验结果。
五、实验结果与分析1. 与门实验:- 输入信号均为高电平时,输出信号为高电平。
- 至少有一个输入信号为低电平时,输出信号为低电平。
2. 或门实验:- 至少有一个输入信号为高电平时,输出信号为高电平。
- 输入信号均为低电平时,输出信号为低电平。
3. 非门实验:- 输入信号为高电平时,输出信号为低电平。
数电 计数器 实验报告
数电计数器实验报告
《数电计数器实验报告》
实验目的:通过实验,掌握计数器的工作原理及其应用。
实验仪器:数电实验箱、示波器、计数器芯片、电源等。
实验原理:计数器是一种能够记录输入脉冲信号次数的电子设备,它能够实现数字信号的计数功能。
在实验中,我们将使用计数器芯片来实现二进制计数器的功能,通过观察输出信号的变化来了解计数器的工作原理。
实验步骤:
1. 将计数器芯片连接到数电实验箱上,并接入示波器以观察输出信号。
2. 将电源接通,调节示波器参数,观察计数器的输出波形。
3. 输入不同的脉冲信号,观察计数器的计数变化。
4. 通过改变输入信号的频率和幅度,观察计数器的响应情况。
实验结果:通过实验观察,我们发现计数器能够准确地记录输入脉冲信号的次数,并且能够按照二进制的方式进行计数。
当输入信号的频率增加时,计数器的计数速度也相应增加,而当输入信号停止时,计数器的计数也停止。
实验结论:计数器是一种非常重要的数字电路元件,它在数字系统中具有广泛的应用。
通过本次实验,我们深入了解了计数器的工作原理及其特性,为今后的数字电路设计和应用打下了坚实的基础。
总结:本次实验通过实际操作,让我们对计数器有了更深入的了解,同时也增强了我们对数字电路的理解和应用能力。
希望通过今后的实验和学习,我们能够更加熟练地掌握数字电路的相关知识,为今后的工程实践打下坚实的基础。
数电实验报告数码管显示控制电路设计
数电实验报告数码管显示控制电路设计实验目的:设计一个数码管显示控制电路,实现对数码管的显示控制。
实验器材:数码管、集成电路、电阻、开关、电源等。
实验原理:数码管是一种用它们来显示数字和字母的一种装置。
它由几个独立的发光二极管组成,每个数字由不同的发光二极管的组合表示。
对数码管的显示控制通常使用多路复用技术实现,即通过控制数码管的分段和共阴极或共阳极来实现不同数字的显示。
实验步骤:1.确定数码管的类型和接线方式。
本实验中使用共阳数码管,数码管共阳极通过电阻连接到正极电源。
2.选取适当的集成电路作为显示控制电路。
本实验中选择CD4511作为显示控制芯片,它可以实现对4位共阳数码管的显示控制。
3.连接电路。
将4位共阳数码管的阳极分别连接到CD4511芯片的A、B、C和D端口,共阴极连接到电源正极。
将CD4511芯片的输入端口IN1、IN2、IN3和IN4连接到微控制器的输出端口,控制微控制器输出的电平来选通不同的数码管。
4.设置微控制器的输出。
通过编程或手动设置微控制器的输出端口来控制数字的显示。
根据需要显示的数字,将相应的输出端口设置为高电平,其余端口设置为低电平。
通过适当的延时控制,便可以实现数字的连续显示。
实验结果与分析:经过上述步骤完成电路搭建后,我们可以通过改变微控制器的输出端口来控制数码管的显示。
当我们设置不同的输出端口为高电平时,相应的数码管会显示对应的数字。
通过适当的延时控制,我们可以实现数字的连续显示,从而实现对数码管的显示控制。
实验结论:通过本次实验,我们成功地设计并实现了一个数码管显示控制电路。
通过对微控制器输出端口的控制,我们可以实现对数码管的数字显示控制。
这对于数字显示系统的设计和开发具有重要意义。
实验心得:通过本次实验,我对数码管的显示控制有了更深入的了解。
数码管作为一种常见的数字显示装置,广泛应用于各种电子设备中。
掌握其显示控制原理和方法对于电子技术爱好者来说至关重要。
通过实际操作,我对数码管显示控制电路的设计和实现有了更深入的认识,同时也提高了我对数字显示系统的理解和设计能力。
数字电子技术实验报告
数字电子技术实验报告
一、实验目的:
1. 掌握TTL 逻辑门电路的主要参数意义
2. 掌握TTL 逻辑门电路主要参数以及测量方法
3. 通过与非门实现与门、或门、异或门。
二、实验设备;
1. 数字电路实验箱
2. 74LS00
3. 函数发生器、示波器
三、实验原理;
1. 实验室所用电路板中配备有与非门,可以通过各种逻辑运算,从而利用与非门实现
与门、或门、异或门等逻辑门电路。
2. Y=A ·B=1••B A ,从公式可以看出,可以将AB 与1接入与非门的两个输入端(输入1的端口悬空即可)。
3. B A B A Y •=+=,从公式可以看出可以将A 和1接入一个非门(2步骤中已经
实现非门),从而得到A ,同理可以得到B ,然后将A 和B 接入与非门的两个输入端,就可得到Y 。
4. Y=A B ⊗=))((B A B A ++=))((B A AB =))((B A AB 。
5. 取信号A 为方波,峰峰值是5V ,偏移量为2.5V ,频率为1000Hz ,B 取为逻辑开关。
四、实验结果图
2. 或门
B
A
& 1 &
3.
当B=0时,Y=A B ⊗=A 当B=1时,Y=A B ⊗=A
B 1 & A & 1
&
A
1
B
1
& B & & A &
&。
数电实验报告【武大电气】
数字电路实验报告专业:电气工程与自动化实验一:组合逻辑电路分析一.实验目的1.熟悉大体逻辑电路的特点。
2.熟悉各类门的实物元件和元件的利用和线路连接。
3.学会分析电路功能.二.实验原理1.利用单刀双掷开关的双接点,别离连接高电平和低电平,开关的掷点不同,门电路输入的电平也不同。
2.门电路的输出端连接逻辑指示灯,灯亮则输出为高电平,灯灭则输出低电平。
3.依次通过门电路的输入电平与输出电平,分析门电路的逻辑关系和实现的逻辑功能。
三.实验元件1.74LS00D2.74LS20D四.实验内容(1)实验内容一:a.实验电路图:由上述实验电路图接线,在开关A B C D选择不同组合的高低电平时,通过对灯X1亮暗的观察,可得出上图的逻辑真值表。
b、逻辑电路真值表:实验分析:•=AB+CD ,一样,由真值表也能推出此由实验逻辑电路图可知:输出X1=AB CD方程,说明此逻辑电路具有与或功能。
(2)实验内容2:密码锁a.实验电路图:D 接着通过实验,改变A B C D 的电平,观察灯泡亮暗,得出真值表如下: b.真值表:实验分析:由真值表(表)可知:当ABCD为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。
由此可见,该密码锁的密码ABCD为1001.因此,可以取得:X1=ABCD,X2=1X。
五.实验体会:1. 这次实验应该说是比较简单,只用到了两种不同的与非门组成一些大体的逻辑电路。
2. 分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的彼此转换已抵达实验所要求的目的结果。
3. 咱们组在这次实验进程中出现过连线正确但没出现相应的实验结果的情况。
后经分析发现由于实验器材利用的次数较多,有些器材有所损坏,如一些导线表面是好的,其实内部损坏,因此意识到了连接线路时一是要注意器材的选取,二是在接线前必然注意检查各元件的好坏。
实验二:组合逻辑实验(一)半加器和全加器一.实验目的:熟悉几种元器件所带的门电路,掌握用这些门电路设计一些简单的逻辑组合电路的方式。
数电实验报告(含实验内容)
数电实验报告(含实验内容)班级:专业:姓名:学号:实验一用与非门构成逻辑电路一、实验目的1、熟练掌握逻辑电路的连接并学会逻辑电路的分析方法2、熟练掌握逻辑门电路间的功能变换和测试电路的逻辑功能二、实验设备及器材KHD-2 实验台集成 4 输入2 与非门74LS20集成 2 输入4 与非门74LS00 或CC4011三、实验原理本实验用的逻辑图如图 2-1 所示图1-1图1-1四、实验内容及步骤1、用与非门实现图1-1电路,测试其逻辑功能,将结果填入表1-1中,并说明该电路的逻辑功能。
2、用与非门实现图1-1电路,测试其逻辑功能,将结果填入表1-2中,并说明该电路的逻辑功能。
3、用与非门实现以下逻辑函数式,测试其逻辑功能,将结果填入表1-3中。
Y(A,B,C)=A’B+B’C+AC班级:专业:姓名:学号:五、实验预习要求1、进一步熟悉 74LS00、74LS20 和CC4011 的管脚引线2、分析图 1-1 (a)、的逻辑功能,写出逻辑函数表达式,并作出真值表。
六、实验报告1、将实验数据整理后填入相关的表格中2、分别说明各逻辑电路图所实现的逻辑功能A B C Z A B C Y表1-1 表1-2A B C Y 表1-3班级:专业:姓名:学号:实验二组合逻辑电路的设计与测试一、实验目的1、掌握组合逻辑电路的设计与测试方法2、进一步熟悉常用集成门电路的逻辑功能及使用二、实验设备及器材KHD-2 实验台4 输入2 与非门74LS202 输入4 与非门74LS00 或CC4011三、实验原理使用中、小规模集成电路来设计组合电路是最常见的逻辑电路的设计方式。
设计组合电路的一般步骤如图2-1 所示。
图 2-1 组合逻辑电路设计流程图根据设计任务的要求建立输入、输出变量,并列出真值表。
然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式。
并按实际选用逻辑门的类型修改逻辑表达式。
根据简化后的逻辑表达,画出逻辑图,用标准器件构成逻辑电路。
数电实验报告
数电实验报告实验目的:本实验旨在通过实际操作,加深对数电原理的理解,掌握数字电子技术的基本原理和方法,培养学生的动手能力和实际应用能力。
实验仪器和设备:1. 示波器。
2. 信号发生器。
3. 逻辑分析仪。
4. 电源。
5. 万用表。
6. 示教板。
7. 电路元件。
实验原理:数电实验是以数字电子技术为基础,通过实验操作来验证理论知识的正确性。
数字电子技术是一种以数字信号为工作对象,利用电子器件实现逻辑运算、数字存储、数字传输等功能的技术。
本次实验主要涉及数字逻辑电路的设计与实现,包括基本逻辑门的组合、时序逻辑电路、触发器等。
实验内容:1. 实验一,基本逻辑门的实验。
在示教板上搭建与非门、或门、与门、异或门等基本逻辑门电路,通过输入不同的逻辑信号,观察输出的变化情况,并记录实验数据。
2. 实验二,时序逻辑电路的实验。
利用触发器、计数器等元件,设计并搭建一个简单的时序逻辑电路,通过改变输入信号,验证电路的功能和正确性。
3. 实验三,逻辑分析仪的应用。
利用逻辑分析仪对实验中的数字信号进行观测和分析,掌握逻辑分析仪的使用方法,提高实验数据的准确性。
实验步骤:1. 按照实验指导书的要求,准备好实验仪器和设备,检查电路连接是否正确。
2. 依次进行各个实验内容的操作,记录实验数据和观察现象。
3. 对实验结果进行分析和总结,查找可能存在的问题并加以解决。
实验结果与分析:通过本次实验,我们成功搭建了基本逻辑门电路,观察到了不同输入信号对输出的影响,验证了逻辑门的功能和正确性。
在时序逻辑电路实验中,我们设计并搭建了一个简单的计数器电路,通过实验数据的记录和分析,验证了电路的正常工作。
逻辑分析仪的应用也使我们对数字信号的观测和分析有了更深入的了解。
实验总结:本次数电实验不仅加深了我们对数字电子技术的理解,还培养了我们的动手能力和实际应用能力。
在实验过程中,我们遇到了一些问题,但通过认真分析和思考,最终都得到了解决。
这次实验让我们深刻体会到了理论与实践相结合的重要性,也让我们对数字电子技术有了更加深入的认识。
数电实验报告串行(3篇)
第1篇一、实验目的1. 理解串行通信的基本原理和方式。
2. 掌握串行通信接口电路的设计与调试方法。
3. 熟悉串行通信在实际应用中的使用。
二、实验原理串行通信是一种数据传输方式,它将数据一位一位地顺序传送,每位的持续时间远远大于数据信号的持续时间。
与并行通信相比,串行通信具有传输距离远、抗干扰能力强、成本低等优点。
串行通信方式主要有两种:同步串行通信和异步串行通信。
同步串行通信使用统一的时钟信号来同步发送和接收设备,而异步串行通信则使用起始位和停止位来同步。
三、实验器材1. 实验箱2. 串行通信模块3. 信号发生器4. 示波器5. 计算器四、实验步骤1. 连接电路根据实验要求,将串行通信模块、信号发生器、示波器等设备正确连接到实验箱上。
2. 设置参数根据实验要求,设置串行通信模块的波特率、数据位、停止位和校验位等参数。
3. 发送数据使用信号发生器生成要发送的数据信号,通过串行通信模块发送出去。
4. 接收数据通过示波器观察接收到的数据信号,分析其波形和参数。
5. 调试与优化根据观察到的波形和参数,对串行通信模块进行调试和优化,确保数据传输的准确性和可靠性。
五、实验结果与分析1. 发送数据波形观察到发送的数据信号波形符合要求,波特率、数据位、停止位和校验位等参数设置正确。
2. 接收数据波形观察到接收到的数据信号波形与发送端一致,说明数据传输过程中没有发生错误。
3. 调试与优化通过调整串行通信模块的参数,提高了数据传输的稳定性和抗干扰能力。
六、实验结论1. 通过本次实验,掌握了串行通信的基本原理和方式。
2. 熟悉了串行通信接口电路的设计与调试方法。
3. 了解了串行通信在实际应用中的重要性。
七、实验心得1. 串行通信在实际应用中具有广泛的应用前景,如工业控制、远程通信等。
2. 在设计和调试串行通信接口电路时,要充分考虑抗干扰能力和数据传输的稳定性。
3. 要熟练掌握串行通信模块的参数设置,以确保数据传输的准确性。
数电实验报告 数据选择器及其应用
实验2实验报告数据选择器及其应用一、实验目的1.了解组合逻辑电路的设计步骤、分析方法和测试方法;2.掌握数据选择器的工作原理与逻辑功能;3.掌握双四选一数据选择器74LS153的应用。
二、实验设备1.数字电路实验箱2 、数字双踪示波器3.集成电路: 74LS004、集成电路: 74LS153三、实验内容1.测试双四选一数据选择器74LS153的逻辑功能;2、设某一导弹发射控制机构有两名司令员A.B和两名操作员C.D, 只有当两名司令员均同意发射导弹攻击目标且有操作员操作, 则发射导弹F;3.用74LS00与74LS153设计一位全加器。
四、实验结果1、测试双四选一数据选择器74LS153的逻辑功能。
如图S5和S6分别接A和B, 负责输入地址;S1.S2.S3.S4为上面选择器的四个输入;S7、S8、S9、S10为下面选择器的四个输入。
举例说明:如图所示, 当S5和S6都输入高电平时, 选择输出1C3和2C3的内容, 即S4和S10的输入均为高电平, 小灯亮。
设某一导弹发射控制机构有两名司令员A.B和两名操作员C.D, 只有当两名司令员均同意发射导弹攻击目标且有操作员操作, 则发射导弹F。
由题意可得出逻辑表达式如下:F=AB(C+D)分析: 由于只有A.B都为高电平时F才有可能输出高电平, 所以让A和B作为地址输入端。
而当A.B均为高电平时, C和D任意一个为高电平则F为高电平。
所以用74LS00实现C和电路图如下:S1、S2接地址选择端, S3、S4先做或运算再接1C3端。
2、用74LS00和可以通B S CI过降维将输入位A和B作为地址选择位,进位位和以及0和1作为被选择数据输入,表示S和CO。
真值表如下:A0 0 CI 低0 1 CI非CI1 0 CI非CI1 1 CI 高五、故障排除在做第二个实验内容的时候, 发现A.B值不是高电平的时候小灯也会亮。
经过检查电路发现1C0, 1C1, 1C2悬空了, 相当于接了高电平。
数电设计实验报告
一、实验目的1. 熟悉数字电路的基本组成和设计方法。
2. 学习组合逻辑电路和时序逻辑电路的设计与实现。
3. 掌握Verilog HDL语言进行数字电路的设计与仿真。
4. 提高数字电路分析与设计能力。
二、实验内容本次实验主要设计一个数字钟电路,要求实现以下功能:1. 显示时、分、秒,时间周期为24小时。
2. 时间基准为1秒对应1Hz的时钟信号。
3. 可通过按键进行校时。
三、实验原理数字钟电路主要由以下部分组成:1. 振荡器:产生基准时钟信号。
2. 分频器:将基准时钟信号分频,得到1Hz的时钟信号。
3. 计数器:对1Hz的时钟信号进行计数,实现秒、分、时的计时。
4. 显示器:将计时结果显示出来。
5. 校时电路:通过按键进行校时操作。
四、实验步骤1. 使用Verilog HDL语言编写数字钟电路的代码。
2. 使用ModelSim进行仿真,验证电路功能。
3. 将代码编译并下载到FPGA芯片上。
4. 在FPGA开发板上进行实验,测试电路功能。
五、实验代码```verilogmodule digital_clock(input clk, // 基准时钟信号input rst_n, // 复位信号,低电平有效 input set, // 校时按键output [5:0] h, // 时output [5:0] m, // 分output [5:0] s // 秒);reg [23:0] counter; // 计数器reg [23:0] h_counter; // 时计数器reg [23:0] m_counter; // 分计数器reg [23:0] s_counter; // 秒计数器// 时计数器always @(posedge clk or negedge rst_n) beginif (!rst_n) beginh_counter <= 24'd0;end else beginif (counter >= 24'd86400) beginh_counter <= h_counter + 24'd1;counter <= 24'd0;end else begincounter <= counter + 24'd1;endendend// 分计数器always @(posedge clk or negedge rst_n) begin if (!rst_n) beginm_counter <= 24'd0;end else beginif (h_counter >= 24'd24) beginm_counter <= m_counter + 24'd1; h_counter <= 24'd0;end else beginm_counter <= m_counter + 24'd1; endendend// 秒计数器always @(posedge clk or negedge rst_n) begin if (!rst_n) begins_counter <= 24'd0;end else beginif (m_counter >= 24'd59) begins_counter <= s_counter + 24'd1;m_counter <= 24'd0;end else begins_counter <= s_counter + 24'd1;endendend// 时、分、秒输出assign h = h_counter[5:0];assign m = m_counter[5:0];assign s = s_counter[5:0];endmodule```六、实验结果1. 仿真结果:使用ModelSim对代码进行仿真,验证电路功能。
数电实验报告
数电实验报告实验名称,基本逻辑门的实验。
实验目的,通过实验掌握基本逻辑门的工作原理和应用,加深对数字电路的理解。
实验仪器,示波器、数字电路实验箱、示波器探头、数字电路实验板、数字万用表等。
实验原理,本实验主要涉及与非门(NOT)、与门(AND)、或门(OR)和异或门(XOR)的实验。
与非门的输入与输出之间的关系是当输入为高电平时,输出为低电平;当输入为低电平时,输出为高电平。
与门的输入与输出之间的关系是当所有输入均为高电平时,输出为高电平;只要有一个输入为低电平时,输出为低电平。
或门的输入与输出之间的关系是当所有输入均为低电平时,输出为低电平;只要有一个输入为高电平时,输出为高电平。
异或门的输入与输出之间的关系是当输入相同时,输出为低电平;当输入不同时,输出为高电平。
实验步骤:1. 将与非门、与门、或门和异或门的引脚分别与数字电路实验板上的相应引脚相连。
2. 将数字电路实验板的电源接通,调节电源电压为5V。
3. 分别将与非门、与门、或门和异或门的输入端接通高电平和低电平信号,观察输出端的信号变化。
4. 使用示波器观察与非门、与门、或门和异或门输入输出波形,分析其工作原理。
实验结果与分析:通过本次实验,我们成功观察到了与非门、与门、或门和异或门的输入输出关系,并使用示波器观察了它们的输入输出波形。
实验结果表明,与非门的输出与输入相反,与门的输出与所有输入有关,或门的输出与任一输入有关,异或门的输出与输入的不同与否有关。
这些实验结果与我们之前学习的逻辑门的工作原理相吻合。
实验总结:通过本次实验,我们对与非门、与门、或门和异或门有了更深入的了解,加深了对数字电路的理解。
同时,通过实际操作,我们更加直观地感受到了逻辑门的工作原理。
在今后的学习和工作中,这些知识和经验都将为我们打下坚实的基础。
实验存在问题及改进措施:在实验过程中,我们发现有时输入信号的稳定性不够,导致输出波形出现波动。
为了提高实验的准确性,我们可以进一步优化实验仪器的使用方法,确保输入信号的稳定性,以获得更加准确的实验结果。
数电实验报告东大
一、实验目的1. 理解数字电路的基本组成和基本原理。
2. 掌握常用数字电路的分析和设计方法。
3. 提高动手实践能力,加深对数字电路理论知识的理解。
二、实验内容本次实验主要包含以下内容:1. 数字电路基础实验2. 组合逻辑电路实验3. 时序逻辑电路实验三、实验仪器与设备1. 数字电路实验箱2. 数字信号发生器3. 示波器4. 计算器5. 实验指导书四、实验原理1. 数字电路基础实验:通过实验了解数字电路的基本组成和基本原理,包括逻辑门、编码器、译码器等。
2. 组合逻辑电路实验:通过实验掌握组合逻辑电路的分析和设计方法,包括加法器、编码器、译码器、数据选择器等。
3. 时序逻辑电路实验:通过实验掌握时序逻辑电路的分析和设计方法,包括触发器、计数器、寄存器等。
五、实验步骤1. 数字电路基础实验- 连接实验箱,检查电路连接是否正确。
- 按照实验指导书的要求,进行逻辑门、编码器、译码器等电路的实验。
- 观察实验结果,分析实验现象,并记录实验数据。
2. 组合逻辑电路实验- 连接实验箱,检查电路连接是否正确。
- 按照实验指导书的要求,进行加法器、编码器、译码器、数据选择器等电路的实验。
- 观察实验结果,分析实验现象,并记录实验数据。
3. 时序逻辑电路实验- 连接实验箱,检查电路连接是否正确。
- 按照实验指导书的要求,进行触发器、计数器、寄存器等电路的实验。
- 观察实验结果,分析实验现象,并记录实验数据。
六、实验结果与分析1. 数字电路基础实验- 通过实验,验证了逻辑门、编码器、译码器等电路的基本原理和功能。
- 实验结果符合理论预期,验证了数字电路的基本组成和基本原理。
2. 组合逻辑电路实验- 通过实验,掌握了组合逻辑电路的分析和设计方法。
- 实验结果符合理论预期,验证了组合逻辑电路的基本原理。
3. 时序逻辑电路实验- 通过实验,掌握了时序逻辑电路的分析和设计方法。
- 实验结果符合理论预期,验证了时序逻辑电路的基本原理。
数电实验分析总结
数电实验分析总结引言数电实验是电子信息类专业中一门重要的实验课程,通过实际操作和实验观测,帮助学生巩固和深化对数字电路原理的理论知识的理解和应用。
本文将对数电实验进行分析总结,探讨实验中遇到的问题和解决方法,以及实验结果的分析和结论。
实验一:逻辑门电路设计实验一的主要目的是通过使用逻辑门芯片,实现给定的逻辑功能。
实验中,我们需要根据所给的逻辑表达式,设计逻辑电路图,然后使用逻辑门芯片进行电路的搭建和测试。
通过实验,我们加深了对逻辑门的理解,掌握了逻辑门的合成和分解方法,并且能够通过逻辑表达式设计和实现逻辑电路。
在实验过程中,我们遇到了逻辑电路连接错误和电路网络设计问题。
通过仔细检查和调试,我们成功解决了这些问题,并最终实现了给定的逻辑功能。
实验结果表明,逻辑门芯片的使用能够大大简化电路的设计和实现过程,并提高电路的稳定性和可靠性。
实验二:触发器设计与应用实验二的主要目的是通过触发器的设计和应用,了解触发器的工作原理和性能。
实验中,我们需要使用JK触发器芯片搭建给定的状态机电路,并观察触发器在不同输入条件下的状态变化。
通过实验,我们加深了对触发器的理解,掌握了触发器的设计和应用方法,并能够通过状态转移图设计和实现状态机电路。
在实验过程中,我们遇到了触发器输入信号不稳定和触发器状态转移错误的问题。
通过仔细调试和改进,我们成功解决了这些问题,并最终实现了状态机电路的正确功能。
实验结果表明,触发器在数字电路中具有重要的作用,能够实现存储和状态转移等功能,对于数字系统的设计和实现起到关键作用。
实验三:计数器设计与应用实验三的主要目的是通过计数器的设计和应用,了解计数器的工作原理和应用场景。
实验中,我们需要使用可编程计数器芯片搭建给定的计数电路,并观察计数器在不同时钟信号下的工作状态。
通过实验,我们加深了对计数器的理解,掌握了计数器的设计和应用方法,并能够通过时序图设计和实现计数电路。
在实验过程中,我们遇到了计数器溢出和计数方向错误的问题。
全加器数电实验报告
全加器数电实验报告全加器数电实验报告引言:数电实验是电子信息工程专业的一门重要课程,通过实践操作,能够更好地理解和掌握数字电路的原理和设计方法。
本次实验主要是关于全加器的设计和实现,全加器是数字电路中的基本组成部分,用于实现两个二进制数的相加运算。
通过本次实验,我将深入了解全加器的工作原理和内部结构,并通过实际搭建电路和观察信号波形来验证其正确性。
一、实验目的:1. 理解全加器的工作原理;2. 掌握全加器的逻辑电路设计方法;3. 通过实验验证全加器的正确性。
二、实验原理:全加器是一种能够实现两个二进制数相加的电路,由于二进制数的相加可能会产生进位,所以全加器有三个输入端:两个二进制数的输入端和一个来自低位的进位输入端。
全加器的输出端有两个:一个是相加结果,另一个是向高位的进位输出。
全加器的逻辑电路设计如下:1. 对两个输入二进制数进行异或运算,得到相加结果;2. 对两个输入二进制数进行与运算,得到进位输出;3. 将进位输入与相加结果进行异或运算,得到最终的和输出。
三、实验步骤:1. 准备实验所需器材和元件:集成电路芯片、电路板、导线等;2. 根据实验原理,设计全加器的逻辑电路图;3. 将电路图转化为实际电路连接方式,搭建电路;4. 使用示波器观察输入信号和输出信号的波形;5. 调整输入信号,观察输出信号的变化;6. 验证全加器的正确性,比较输出信号和预期结果。
四、实验结果与分析:在实验过程中,我按照实验步骤搭建了全加器的电路,并通过示波器观察了输入信号和输出信号的波形。
通过调整输入信号,我可以清晰地观察到输出信号的变化。
在输入信号为0和0的情况下,输出信号为0,符合预期结果。
在输入信号为1和0的情况下,输出信号为1,也符合预期结果。
在输入信号为1和1的情况下,输出信号为0,但同时产生了一个进位输出信号,这也是全加器的特点。
通过对比输出信号和预期结果,可以验证全加器的正确性。
如果输出信号与预期结果一致,说明全加器的设计和实现是正确的。
数电项目实验报告(3篇)
第1篇一、实验目的1. 理解数字电路的基本概念和组成原理。
2. 掌握常用数字电路的分析方法。
3. 培养动手能力和实验技能。
4. 提高对数字电路应用的认识。
二、实验器材1. 数字电路实验箱2. 数字信号发生器3. 示波器4. 短路线5. 电阻、电容等元器件6. 连接线三、实验原理数字电路是利用数字信号进行信息处理的电路,主要包括逻辑门、触发器、计数器、寄存器等基本单元。
本实验通过搭建简单的数字电路,验证其功能,并学习数字电路的分析方法。
四、实验内容及步骤1. 逻辑门实验(1)搭建与门、或门、非门等基本逻辑门电路。
(2)使用数字信号发生器产生不同逻辑电平的信号,通过示波器观察输出波形。
(3)分析输出波形,验证逻辑门电路的正确性。
2. 触发器实验(1)搭建D触发器、JK触发器、T触发器等基本触发器电路。
(2)使用数字信号发生器产生时钟信号,通过示波器观察触发器的输出波形。
(3)分析输出波形,验证触发器电路的正确性。
3. 计数器实验(1)搭建异步计数器、同步计数器等基本计数器电路。
(2)使用数字信号发生器产生时钟信号,通过示波器观察计数器的输出波形。
(3)分析输出波形,验证计数器电路的正确性。
4. 寄存器实验(1)搭建移位寄存器、同步寄存器等基本寄存器电路。
(2)使用数字信号发生器产生时钟信号和输入信号,通过示波器观察寄存器的输出波形。
(3)分析输出波形,验证寄存器电路的正确性。
五、实验结果与分析1. 逻辑门实验通过实验,验证了与门、或门、非门等基本逻辑门电路的正确性。
实验结果表明,当输入信号满足逻辑关系时,输出信号符合预期。
2. 触发器实验通过实验,验证了D触发器、JK触发器、T触发器等基本触发器电路的正确性。
实验结果表明,触发器电路能够根据输入信号和时钟信号产生稳定的输出波形。
3. 计数器实验通过实验,验证了异步计数器、同步计数器等基本计数器电路的正确性。
实验结果表明,计数器电路能够根据输入时钟信号进行计数,并输出相应的输出波形。
数电实验报告
一、实验目的1. 理解数字电路的基本组成和工作原理。
2. 掌握常用数字电路的设计方法和应用。
3. 熟悉数字电路实验设备和工具的使用。
4. 培养实际操作能力和创新思维。
二、实验原理数字电路是利用数字信号进行信息处理和传输的电路。
它主要由逻辑门、触发器、计数器、译码器等基本单元组成。
本实验主要涉及以下几种数字电路:1. 逻辑门:实现基本的逻辑运算,如与、或、非、异或等。
2. 触发器:存储一位二进制信息,是实现时序逻辑的基础。
3. 计数器:对输入脉冲进行计数,广泛应用于计时、分频等领域。
4. 译码器:将二进制代码转换为其他形式的信号。
三、实验内容1. 逻辑门电路实验:验证基本逻辑门的功能,包括与门、或门、非门、异或门等。
2. 触发器电路实验:验证D触发器、JK触发器、SR触发器等的功能。
3. 计数器电路实验:设计并验证二进制计数器、十进制计数器、可逆计数器等。
4. 译码器电路实验:设计并验证二进制译码器、七段显示译码器等。
四、实验步骤1. 逻辑门电路实验:- 将基本逻辑门电路连接到实验板上。
- 输入不同的逻辑信号,观察输出结果。
- 验证基本逻辑门的功能。
2. 触发器电路实验:- 将D触发器、JK触发器、SR触发器等电路连接到实验板上。
- 输入不同的时钟信号和输入信号,观察输出结果。
- 验证触发器的功能。
3. 计数器电路实验:- 设计并搭建二进制计数器、十进制计数器、可逆计数器等电路。
- 输入不同的时钟信号,观察计数器的输出结果。
- 验证计数器的功能。
4. 译码器电路实验:- 设计并搭建二进制译码器、七段显示译码器等电路。
- 输入不同的二进制代码,观察译码器的输出结果。
- 验证译码器的功能。
五、实验结果与分析1. 逻辑门电路实验:通过实验验证了基本逻辑门的功能,如与门、或门、非门、异或门等。
2. 触发器电路实验:通过实验验证了D触发器、JK触发器、SR触发器等的功能,掌握了触发器的基本工作原理。
3. 计数器电路实验:通过实验设计并验证了二进制计数器、十进制计数器、可逆计数器等,掌握了计数器的设计方法和应用。
北邮数电综合实验报告
北邮数电综合实验报告北邮数电综合实验报告一、实验目的与背景数电综合实验是北邮电子信息工程专业的重要实践环节,旨在通过实际操作,巩固和应用学生在数字电路、模拟电路、通信原理等相关课程中所学到的理论知识。
本实验报告将对数电综合实验的内容、过程和结果进行详细描述和分析。
二、实验内容本次数电综合实验的主要内容为设计一个数字电子钟。
该电子钟具备显示时间、日期、闹钟功能,并能实现闹钟的设置、开关控制等基本操作。
实验中,我们需要使用数字集成电路、显示模块、按键开关、时钟模块等元件进行电路设计和搭建。
三、实验过程1. 硬件设计与连接根据实验要求,我们首先进行电路设计。
根据数字电子钟的功能需求,我们需要选取适当的集成电路和模块。
通过分析电路原理图,我们将各个模块进行连接,保证信号的正确传递和控制。
2. 软件编程与调试在硬件连接完成后,我们需要进行软件编程。
通过使用C语言或者Verilog等编程语言,我们可以实现数字电子钟的各项功能。
在编程过程中,我们需要考虑到时钟频率、显示模块的控制、按键开关的响应等因素。
3. 实验调试与测试完成软件编程后,我们需要进行实验调试和测试。
通过连接电源,观察电子钟的各项功能是否正常工作。
如果发现问题,我们需要进行调试,找出问题所在,并进行修复。
四、实验结果与分析经过实验调试和测试,我们成功实现了数字电子钟的设计和搭建。
该电子钟能够准确显示时间和日期,并能根据用户的设置进行闹钟的开关和响铃。
通过实验过程,我们对数字电路的原理和应用有了更深入的理解。
五、实验心得与收获通过参与数电综合实验,我深刻体会到了理论与实践的结合的重要性。
在实验中,我们需要将课堂上所学的知识应用到实际中,通过实际操作来巩固和加深对知识的理解。
同时,实验中也锻炼了我们的动手能力和解决问题的能力。
在实验过程中,我们还学会了团队合作的重要性。
在设计和搭建电路的过程中,我们需要相互配合,互相帮助,共同解决问题。
通过与同学们的合作,我们不仅解决了实验中遇到的各种问题,还加深了与同学们的交流和友谊。
数电综合实验报告(3篇)
第1篇一、实验目的1. 巩固和加深对数字电路基本原理和电路分析方法的理解。
2. 掌握数字电路仿真工具的使用,提高设计能力和问题解决能力。
3. 通过综合实验,培养团队合作精神和实践操作能力。
二、实验内容本次实验主要分为以下几个部分:1. 组合逻辑电路设计:设计一个4位二进制加法器,并使用仿真软件进行验证。
2. 时序逻辑电路设计:设计一个4位计数器,并使用仿真软件进行验证。
3. 数字电路综合应用:设计一个数字时钟,包括秒、分、时显示,并使用仿真软件进行验证。
三、实验步骤1. 组合逻辑电路设计:(1)根据题目要求,设计一个4位二进制加法器。
(2)使用Verilog HDL语言编写代码,实现4位二进制加法器。
(3)使用ModelSim软件对加法器进行仿真,验证其功能。
2. 时序逻辑电路设计:(1)根据题目要求,设计一个4位计数器。
(2)使用Verilog HDL语言编写代码,实现4位计数器。
(3)使用ModelSim软件对计数器进行仿真,验证其功能。
3. 数字电路综合应用:(1)根据题目要求,设计一个数字时钟,包括秒、分、时显示。
(2)使用Verilog HDL语言编写代码,实现数字时钟功能。
(3)使用ModelSim软件对数字时钟进行仿真,验证其功能。
四、实验结果与分析1. 组合逻辑电路设计:通过仿真验证,所设计的4位二进制加法器能够正确实现4位二进制加法运算。
2. 时序逻辑电路设计:通过仿真验证,所设计的4位计数器能够正确实现4位计数功能。
3. 数字电路综合应用:通过仿真验证,所设计的数字时钟能够正确实现秒、分、时显示功能。
五、实验心得1. 通过本次实验,加深了对数字电路基本原理和电路分析方法的理解。
2. 掌握了数字电路仿真工具的使用,提高了设计能力和问题解决能力。
3. 培养了团队合作精神和实践操作能力。
六、实验改进建议1. 在设计组合逻辑电路时,可以考虑使用更优的电路结构,以降低功耗。
2. 在设计时序逻辑电路时,可以尝试使用不同的时序电路结构,以实现更复杂的逻辑功能。
数电实验报告
数电实验报告
数电实验报告
一、实验目的
1.掌握电子学基本测量仪器的使用方法;
2.了解数字电路的运算规律和运算器件的功能特性;
3.学会用运算器件搭建简单的逻辑电路。
二、实验器材和仪器
数字万用表、示波器、电压源、数字集成电路和杜邦线等。
三、实验原理
数字电路是由数字信号组成的信号处理电路。
数字电路可以进行逻辑运算和信息处理,具有逻辑运算精度高、速度快、稳定性好、可靠性高等特点。
数字电路是现代电子技术的重要组成部分,在计算机和通信系统中起着重要的作用。
四、实验过程和结果
首先,我们使用数字万用表和示波器等仪器,对数字电路的电流、电压和频率等进行测量。
然后,我们使用电压源和数字集成电路等器件,搭建数字电路,例如加法器、减法器、乘法器和除法器等。
最后,我们通过调节电压源的电压,观察数字电路的输出结果。
我们发现,当电压小于某个临界值时,输出为低电平;当电压大于该临界值时,输出为高电平。
根据这一规律,我们可以设计出更加复杂的数字电路。
五、实验总结
通过本次实验,我们学会了使用基本的电子学测量仪器,了解了数字电路的基本原理和技术特点。
同时,我们还了解了数字电路的运算规律和运算器件的功能特性,掌握了用运算器件搭
建简单逻辑电路的方法。
未来,我们可以用这些知识和技能来设计和实现更加复杂和高效的数字电路,为真正的实际应用做好准备。
数电实验报告
数字电子技术实验报告学号:姓名:班级:实验一组合逻辑电路分析一、实验用集成电路引脚图74LS00集成电路:74LS20集成电路:二、实验内容1.ABCD接逻辑开关,“1”表示高电平,“0”表示低电平。
电路图如下:A=B=C=D=1时(注:逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。
)表格记录:结果分析:由表中结果可得该电路所实现功能的逻辑表达式为:F=AB+CD。
在multisim软件里运用逻辑分析仪分析,可得出同样结果:2.密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为”1”,将锁打开。
否则,报警信号为”1”,则接通警铃。
试分析密码锁的密码ABCD是什么?电路图如下:A=B=C=D=1时A=B= D=1,C=0时2.5 VA= D=1,B=C=0时记录表格:结果分析:由表可知,只有当A=D=1,B=C=0时,开锁灯亮;其它情况下,都是报警灯亮。
因此,可知开锁密码是1001。
三、实验体会与非门电路可以实现多种逻辑函数的功能模拟,在使用芯片LS7400和LS7420时,始终应该注意其14脚接高电平,8脚接地,否则与非门无法正常工作。
利用单刀双掷开关,可以实现输入端输入高/低电平的转换;利用LED灯可以指示输出端的高低电平。
实验二组合逻辑实验(一)半加器和全加器一、实验目的熟悉用门电路设计组合电路的原理和方法步骤。
二、预习内容1.预习用门电路设计组合逻辑电路的原理和方法步骤。
2.复习二进制数的运算。
①用与非门设计半加器的逻辑图。
②完成用异或门、与非门、与或非门设计全加器的逻辑图。
③完成用异或门设计的三变量判奇电路的原理图。
三、参考元件74LS283: 74LS00:74LS51: 74LS136:四、实验内容1.用与非门组成半加器,用异或门、与或非门、与非门组成全加器。
实验结果填入表中。
(1)与非门组成的半加器。
电路图如下(J1、J2分别代表Ai、Bi,图示为Ai、Bi分别取不同的电平时的仿真结果):2.5 V2.5 V2.5 V记录表格:(2)异或门、与或非门、与非门组成的全加器。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
数字电子技术实验指导书随着科学技术的发展,数字电子技术在各个科学领域中都得到了广泛的应用,它是一门实践性很强的技术基础课,在学习中不仅要掌握基本原理和基本方法,更重要的是学会灵活应用。
因此,需要配有一定数量的实验,才能掌握这门课程的基本内容,熟悉各单元电路的工作原理,各集成器件的逻辑功能和使用方法,从而有效地培养学生理论联系实际和解决实际问题的能力,树立科学的工作作风。
一.实验的基本过程实验的基本过程,应包括:确定实验内容、选定最佳的实验方法和实验线路、拟出较好的实验步骤、合理选择仪器设备和元器件、进行连接安装和调试、最后写出完整的实验报告。
在进行数字电路实验时,充分掌握和正确利用集成器件及其构成的数字电路独有的特点和规律,可以收到事半功倍的效果,对于完成每一个实验,应做好实验预习、实验记录和实验报告等环节。
(一)实验预习认真预习是做好实验的关键。
预习好坏,不仅关系到实验能否顺利进行,而且直接影响实验效果。
预习应按本教材的实验预习要求进行,在每次实验前首先要认真复习有关实验的基本原理,掌握有关器件使用方法,对如何着手实验做到心中有数,通过预习还应做好实验前的准备,写出一份预习报告,其内容包括:1.绘出设计好的实验电路图,该图应该是逻辑图和连线图的混合,既便于连接线,又反映电路原理,并在图上标出器件型号、使用的引脚号及元件数值,必要时还须用文字说明。
2.拟定实验方法和步骤。
3.拟好记录实验数据的表格和波形座标。
4.列出元器件单。
(二)实验记录实验记录是实验过程中获得的第一手资料。
测试过程中所测试的数据和波形必须和理论基本一致,所以记录必须清楚、合理、正确,若不正确,则要现场及时重复测试,找出原因。
实验记录应包括如下内容:1.实验任务、名称及内容。
2.实验数据和波形以及实验中出现的现象,从记录中应能初步判断实验的正确性。
3.记录波形时,应注意输入、输出波形的时间相位关系,在座标中上下对齐。
4.实验中实际使用的仪器型号和编号以及元器件使用情况。
(三)实验报告实验报告是培养学生科学实验的总结能力和分析思维能力的有效手段,也是一项重要的基本功训练,它能很好地巩固实验成果,加深对基本理论的认识和理解,从而进一步扩大知识面。
实验报告是一份技术总结,要求文字简洁,内容清楚,图表工整。
报告内容应包括实验目的、实验内容和结果、实验使用仪器和元器件以及分析讨论等,其中实验内容和结果是报告的主要部分,它应包括实际完成的全部实验,并且要按实验任务逐个书写,每个实验任务应有如下内容:1.实验课题的方框图、逻辑图(或测试电路)、状态图,真值表以及文字说明等,对于设计性课题,还应有整个设计过程和关键的设计技巧说明。
2.实验记录和经过整理的数据、表格、曲线和波形图,其中表格、曲线和波形图应充分利用专用实验报告简易座标格,并且三角板、曲线板等工具描绘,力求画得准确,不得随手示意画出。
3.实验结果分析、讨论及结论,对讨论的范围,没有严格要求,一般应对重要的实验现象、结论加以讨论,以便进一步加深理解,此外,对实验中的异常现象,可作一些简要说明,实验中有何收获,可谈一些心得体会。
二.实验中操作规范和常见故障检查方法实验中操作的正确与否对实验结果影响甚大。
因此,实验者需要注意按以下规程进行。
1.搭接实验电路前,应对仪器设备进行必要的检查校准,对所用集成电路进行功能测试。
2.搭接电路时,应遵循正确的布线原则和操作步骤(即要按照先接线后通电,做完后,先断电再拆线的步骤)。
3.掌握科学的调试方法,有效地分析并检查故障,以确保电路工作稳定可靠。
4.仔细观察实验现象,完整准确地记录实验数据并与理论值进行比较分析。
5.实验完毕,经指导教师同意后,可关断电源拆除连线,整理好放在实验箱内,并将实验台清理干净、摆放整洁。
布线原则和故障检查时实验操作的重要问题。
(一)布线原则:应便于检查、排除故障和更换器件。
在数字电路实验中,有错误布线引起的故障,常占很大比例。
布线错误不仅会引起电路故障,严重时甚至会损坏器件,因此,注意布线的合理性和科学性是十分必要的,正确的布线原则大致有以下几点:1.接插集成电路芯片时,先校准两排引脚,使之与实验底板上的插孔对应,轻轻用力将芯片插上,然后在确定引脚与插孔完全吻合后,再稍用力将其插紧,以免集成电路的引脚弯曲,折断或者接触不良。
2.不允许将集成电路芯片方向插反,一般IC的方向是缺口(或标记)朝左,引脚序号从左下方的第一个引脚开始,按逆时钟方向依次递增至左上方的第一个引脚。
3.导线应粗细适当,一般选取直径为0.6~0.8mm的单股导线,最好采用各种色线以区别不同用途,如电源线用红色,地线用黑色。
4.布线应有秩序地进行,随意乱接容易造成漏接错接,较好的方法是接好固定电平点,如电源线、地线、门电路闲置输入端、触发器异步置位复位端等,其次,在按信号源的顺序从输入到输出依次布线。
5.连线应避免过长,避免从集成器件上方跨接,避免过多的重叠交错,以利于布线、更换元器件以及故障检查和排除。
6.当实验电路的规模较大时,应注意集成元器件的合理布局,以便得到最佳布线,布线时,顺便对单个集成器件进行功能测试。
这是一种良好的习惯,实际上这样做不会增加布线工作量。
7.应当指出,布线和调试工作是不能截然分开的,往往需要交替进行,对大型实验元器件很多的,可将总电路按其功能划分为若干相对独立的部分,逐个布线、调试(分调),然后将各部分连接起来(联调)。
(二)故障检查实验中,如果电路不能完成预定的逻辑功能时,就称电路有故障,产生故障的原因大致可以归纳以下四个方面:1.操作不当(如布线错误等)2.设计不当(如电路出现险象等)3.元器件使用不当或功能不正常4.仪器(主要指数字电路实验箱)和集成器件本身出现故障。
因此,上述四点应作为检查故障的主要线索,以下介绍几种常见的故障检查方法:1.查线法:由于在实验中大部分故障都是由于布线错误引起的,因此,在故障发生时,复查电路连线为排除故障的有效方法。
应着重注意:有无漏线、错线,导线与插孔接触是否可靠,集成电路是否插牢、集成电路是否插反等。
2.观察法:用万用表直接测量各集成块的V cc端是否加上电源电压;输入信号、时钟脉冲等是否加到实验电路上,观察输出端有无反应。
重复测试观察故障现象,然后对某一故障状态,用万用表测试各输入/输出端的直流电平,从而判断出是否是插座板、集成块引脚连接线等原因造成的故障。
3.信号注入法在电路的每一级输入端加上特定信号,观察该级输出响应,从而确定该级是否有故障,必要时可以切断周围连线,避免相互影响。
4.信号寻迹法在电路的输入端加上特定信号,按照信号流向逐级检查是否有响应和是否正确,必要时可多次输入不同信号。
5.替换法对于多输入端器件,如有多余端则可调换另一输入端试用。
必要时可更换器件,以检查器件功能不正常所引起的故障。
6.动态逐线跟踪检查法对于时序电路,可输入时钟信号按信号流向依次检查各级波形,直到找出故障点为止。
7.断开反馈线检查法对于含有反馈线的闭合电路,应该设法断开反馈线进行检查,或进行状态预置后再进行检查。
以上检查故障的方法,是指在仪器工作正常的前提下进行的,如果实验时电路功能测不出来,则应首先检查供电情况,若电源电压已加上,便可把有关输出端直接接到0—1显示器上检查,若逻辑开关无输出,或单次CP无输出,则是开关接触不好或是内部电路坏了,一般就是集成器件坏了。
需要强调指出,实验经验对于故障检查是大有帮助的,但只要充分预习,掌握基本理论和实验原理,就不难用逻辑思维的方法较好地判断和排除故障。
三.实验内容的选择(一)验证性实验这一部分实验的实验内容以验证数字电子技术课程中所学习的基本理论、基本电路、基本方法为主要目的,一般给学生提供相应的器件、电路原理图,有学生在实验室的实验箱上进行电路的连接,验证其完成功能是否正确,在此基础上,巩固加深所学理论知识。
(二)半开放式实验这一部分实验内容相对灵活,由教师根据学生理论学习的不同阶段,提出几个参考实验内容和要达到的目的,由学生自己选择所要完成的实验。
教师把可能用到的器件和面包板发给学生,学生在课下自己找时间进行实验,然后到实验室验证所实现功能,上交实验报告。
这种实验教学模式,拓展了学生的实验空间和时间,也充分挖掘了学生的潜力。
一般这一部分实验无固定的实验内容,教师可根据学生的学习情况灵活提供。
(三)设计性实验设计性实验的实验内容一般综合了多个知识点,所要求设计的电路多既涉及到组合逻辑电路又涉及到时序逻辑电路,既涉及到分离元器件又包含较多的集成芯片。
学生必须把相关的知识真正掌握并把不同的知识点融汇贯通后才能正确连接电路,并通过实验室相关设备验证所完成的功能。
这种实验方式可以大大提高学生设计电路的能力,不断提高学习兴趣。
本实验指导书选择了几个具有代表性的验证性实验和设计性实验,实际实验过程中可根据每一届学生掌握知识的不同程度和动手能力的差异,从提高学生的实际动手能力和创新能力入手实时进行实验内容的更新。
数字电路技术试验实验一 TTL与非门的参数和特性测试一、实验目的1.掌握TTL与非门74LS00的工作原理。
2.掌握TTL与非门的主要参数和静态特性的测试方法,并加深对各参数意义的理解。
二、所用器件四2输入与非门74LS00,其管脚如图1-1所示。
第一位数字表示不同的门,A、B为输入,Q为输出。
三、预习要求1.复习关于TTL门电路参数的内容,明确各参数的意义。
2.查阅资料,了解各参数的取值范围。
图1-1 74LS00的管脚图四、实验内容注意:第1·2两项要测电流,注意测量时将万用表置于“电流档”,且如需在测试过程中检查某点电压,务必换回电压档再进行测量,否则会造成万用表的损坏。
1.输入短路电流I is输入短路电流I is是指当某输入端接地,而其他输入端开路或接高电平时,流过该接地输入端的电流。
输入短路电流I is与输入低电平电流I iL相差不多,一般不加以区分。
将74LS00的14脚接5V电源,7脚接地,其他管教均为悬空。
按图1-2所示方法,在输入端空载时,依次将输入端经毫安表接地,测的各输入端的输入短路电流,并填入表1-1中。
图1-2 输入短路电流的测试电路2.静态功耗按图1-3接好电路,分别测量输入低电平和高电平时的电源电流I CCH及I CCL。
于是有P0=(Icch+Iccl)/2*Vcc。
注意:74LS00为四与非门,测I CCH·I CCL时,四个们的状态应相同,图1-3(a)所示测得的为I CCL;测I CCH时,为使每一个门都输入高电平,可按图1-3(b)接线。
P0应除以4得出一个门的功耗。
(a)Iccl测量电路(b)Icch测量电路图1-3 静态功耗测量电路注意:第3、4两项要测电压,请将万用表由电流档换回电压档再进行测量,否则会造成万用表的损坏。