10分钟学会PLD设计

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

10分钟学会PLD设计

作者:admin 文章来源:《电子技术应用》点击数:4235 更新时间:2005-6-17

今天我们将带领大家完成你的第一个PLD设计,即使你从没有接触过PLD,也可以让你可以在十分种之内初步学会PLD设计!不信?呵呵我们慢慢往下看。

实验目的

我们分别采用VHDL、Verilog-HDL和原理图输入方式设计一个简单的三人表决器,,并下载到PLD实验板进行实际运行。

三人表决器的功能描述:三个人分别用手指拨动开关SW1、SW2、SW3来表示自己的意愿,如果对某决议同意,各人就把自己的指拨开关拨到高电平(上方),不同意就把自己的指拨开关拨到低电平(下方)。表决结果用LED(高电平亮)显示,如果决议通过那么实验板上L2(黄灯)亮;如果不通过那么实验板上L1(红灯)亮;如果对某个决议有任意二到三人同意,那么此决议通过,L2亮;如果对某个决议只有一个人或没人同意,那么此决议不通过,L1亮

功能虽然简单,但是大家可以从这个实验中学习到PLD的设计输入,仿真,下载等一个完整过程。

软件准备

本次实验采用Max+plusII 10.2 软件,首先我们需要下载免费软件并安装license。对于WindowsNT/2000/XP,还需要安装下载电缆的驱动程序。

Max+plusII 软件的安装和license的设置

1. MAX+plusII 软件的安装

如果是MaxplusII Baseline10.2版本,直接双击下图的图表,按提示安装

如果是MaxplusII 10.2完全版,双击下图中的setup.exe可执行文件,按提示安装

其他版本也都是双击可执行文件*.exe

安装后,在开始->程序->altera中将看到MAX+plusII的图标

安装后请按下面步骤设置license

2. MAX+plusII 软件的license设置

运行软件还需要一个有效的license,你可以在上用自己的网卡号(NIC Number)申请一个license(在DOS命令窗口下,输入:ipconfig 即可看到自己机器的NIC号码),申请好以后,5分钟内即可收到回复邮件。

网上申请的免费license一般不支持VHDL和VerilogHDL,你也可以试试这个。

然后将license文件拷贝到硬盘的任何一个目录,安装后直接在软件中的设置就可以了,如下面两图所示:

提示:MaxplusII对VHDL和Verilog语言的支持有限,有不少语法不能支持,如果遇到这种情况,建议使用QuartusII或者专用综合工具进行逻辑综合,参见:开发软件

装下载电缆的驱动程序

MAX+plusII 软件的驱动设置

在Win98下运行MAX+plusII可以自动检测到ByteBlasterMV(ByteBlaster)下载电缆,但在WIN2000、W INXP下无法自动检测到它的存在。如何在WIN2000和WINXP平台下安装使用ByteBlasterMV并口下载电缆呢?

WIN2000

1)在控制面板中选择“添加/删除硬件”

2)选择“添加/排除设备故障”,下一步

3)选择“添加新设备”,下一步

4)选择“否,从列表中选择硬件”

5)选择“声音、视频和游戏控制器”,下一步

6)选择“从磁盘安装”,下一步

7)在MaxPlus2->Drivers->Win2000下选择inf文件,

8)选择“Altera ByteBlaster”,按下“下一步”开始安装,安装完毕,需要重新启动电脑才能使新设备生效WINXP添加驱动:

先切换到经典视图

(不切换可能界面和下面有点区别,但同样可以安装)1)在控制面板中选择“添加硬件”

2)选择“添加硬件向导”,下一步

3)选择“是,硬件已连接好”,下一步

4)选择“添加新的硬件设备”

5)选择“安装我手动从列表中选择的硬件”

6)选择“声音、视频和游戏控制器”,下一步

7)选择“从磁盘安装”,下一步

8)在MaxPlus2->Drivers->Win2000下选择inf文件

9)在如下对话框中选择“Altera ByteBlaster”,按下“下一步”开始安装,安装完毕,需要重新启动电脑才能使新设备生效

NOTE:

在安装最后,可能会提示“WINXP不支持此驱动,继续安装可能会导致系统崩溃”,这并不影响使用,你选择继续安装。

WINNT

在“ 控制面板” 中双击“ 多媒体”

按下“ 添加” 按钮

选择“ 未列出或已更新的驱动程序” ,按下“确定” ,在弹出的对话框中选择驱动程序所在的位置,即MaxPlus 安装目录下的Drivers 子目录

按下“ 确定” ,提示可以安装如下新的驱动程序:

选择Altera ByteBlaster, 按下确定键。至此,在MaxPlus 中就可以看到该编程器了。

硬件准备

PC机一台,JX002B型实验板,电源,下载电缆

软硬件均准备好以后,就可以开始我们的设计了。在三种输入方式中,你也可以先只看一种,比如原理图方式或者VHDL方式,然后可以直接看2-4章的内容

下面您可以继续学习以下内容:

10分钟学会PLD设计

1 设计输入

1.1 采用原理图设计三人表决器

我们根据三人表决器的直值表,可以通过卡诺图化简可以得到:

L2=SW1SW2+SW1SW3+SW2SW3

L1=_L2

那么我们可以在MAX+plusII中用原理图实现上面的三人表决器

下面仅把和VHDL不同的详细写下,相同或基本相同的就一带而过:

(1)打开MAX+plusII

(2)新建一个图形文件:File菜单>new

新建文件时选择Graphic Editor file

点OK

(3)输入设计文件

我们现在在图形文件中输入电路,我们这个电路需要AND2、OR3、NOT三个逻辑门电路和输入输出端,你可以

Symbol ->Enter Symbol(或者双击空白处)

相关文档
最新文档