数字电子技术课程设计报告
数字电子技术基础课程设计总结报告
数字电子技术基础课程设计总结报告电路分析基础课程是电子信息类专业入门基础课程,具有较强的理论性和专业实用性。
面向大学低年级没有工程经验的学生,其课程设计是一个公认的难点问题。
为了加强学生工程技能的培养,在电路分析基础课程中坚持了课程设计计划。
接下来XX为你带来数字电子技术基础课程设计总结报告,希望对你有帮助。
金属探测器的设计1.进一步了解什么是自激振荡、产生正弦波自激振荡的条件、正弦波振荡电路的组成和判断电路能否产生正弦波振荡的方法和步骤;2.了解正弦波电路所产生的自激振荡和负反馈放大电路中产生的自激振荡的区别;3.掌握正弦波振荡电路中为什么必须要有选频网络;4.重点掌握电感反馈式振荡电路的工作原理;5.掌握进行模拟电子电路功能原理设计的技术;6.掌握实用工程电子电路的完整设计过程;7.认识相关电子元件,器件,掌握电子元件,器件的电气性能;8.初步掌握现代电子设计自动化工具软件protel99原理图绘制和PCB 板绘制;9.了解所用器件特性及性能的运用,掌握经典焊接技术,基本元器件制作技术及电子线路板的综合调试技术。
1.根据相关的教材内容及教师推荐的有关参考资料,设计出金属探测器的原理图,要求能测出某区域是否有金属,如有给出相应的声光提示;2.用protel99绘制直流电机驱动器电路原理图;3.用protel99绘制印刷电路板;4.用PCB 组装焊接实体电路;5.调试电路并分析存在的问题,提出解决的方法。
在此电路中,LC 正弦波振荡电路工作在临界状态,产生一定频率正弦波,当无金属靠近电感时,LC 正弦波振荡电路正常工作,T3管截止,无声光提示;当有金属靠近电感时,破坏LC 正弦波振荡电路振荡条件,无正弦波输出,T3管导通,发出声光提示。
分析以下问题:1.产生正弦波振荡的条件是什么?2.电路中T2管的作用是什么?3.为什么无金属靠近电感时,T3管截止,无声光提示;4.为什么有金属靠近电感时,T3管截止,无声光提示。
《数字电子技术》课程设计报告
《数字电子技术》课程设计总结报告题目:1、红绿灯控制器2、汽车尾灯控制器设计日期:2011年 5 月21 日目录一.设计任务书二.设计框图及整机概述三.各单元电路的设计方案及原理说明四.调试过程及结果分析五.附录(包括:整机逻辑电路图和元器件清单)六.设计、安装及调试中的体会七、对本次课程设计的意见及建议红绿灯控制器一、设计任务书1、题目:红绿灯控制器2、设计要求设计一个红绿灯控制器设计应具有以下功能基本设计要求:设计一个红绿灯控制器控制器设计应具有以下功能(1)东西方向绿灯亮,南北方向红灯亮。
.(2)东西方向黄灯亮,南北方向红灯亮。
(3)东西方向红灯亮,南北方向绿灯亮。
(4 )东西方向红灯亮,南北方向黄灯亮。
要求有时间显示(顺数、逆数皆可),时间自定。
(大于15秒以上),可忝加其他功厶匕能3、给定条件只能采用实验室提供的中小规模电路进行设计。
(不一定是实验用过的)• OO十字路口交通示意图二、设计框图及整机概述该电路主要由以下五部分组成:1、状态控制器2、状态译码器3、减法计数器4、秒脉冲发生器55、预置数电路信号灯显示电路整机概述:该电路旨在模拟交通灯基本工作原理。
在预置数电路信号灯显示电路中设定东西方向绿灯(38秒)、黄灯(10秒)、红灯(28 秒),电路按照设计要求的状态工作。
设计结构框图:脉冲发生器-------------- ►减法计数器状态译码器____________ 信号灯显示三.各单元电路的设计方案及原理说明1状态控制器(1)交通灯工作流程如图2所示主道绿灯亮,支道红灯亮主道黄灯亮,支道红灯亮主道红灯亮,支道绿灯亮(2)状态控制器信号灯四种不同的状态分别用S o (主道绿灯亮,支道红灯亮)、S (主道黄灯亮,支道红灯亮)、2 (主道红灯亮,支道绿灯亮)、Q (主道红灯亮,支道黄灯亮)表示,其状态编码及状态S所以状态控制器电路如图所示:2、状态译码器设计主、支道上红、绿、黄(用蓝灯表示)信号灯的状态主要取决状态控制器的之间的关系见真值表如表2所示。
(电子钟)数字电子技术课程设计实验报告
(电子钟)数字电子技术课程设计实验报告摘要
本次实验是一次关于在嵌入式系统中采用数字电子技术用以构建一个电子钟的实践。
在课程设计中,我们对嵌入式实验综合系统(EDS)的基本操作熟悉并最终实现从输入到输出的综合电路设计。
同时,我们把基本的概念和知识应用于所设计的数字电路中,动手实践实现相应的功能,同时也观察了不同参数时所表现出的不同情况,并最终通过实验论证了陈述的情况是否与书面或电子文字形式上描述的内容一致。
关键词:数字电子技术,嵌入式实验综合系统,电子钟
2.实验原理
根据我们的实验要求,我们以芯片MSOE 的实验系统为基础,利用其芯片中的数字电子技术设计了一个电子钟。
我们的实际设计的电路中,主要有电源模块、频率信号输入模块、时钟控制模块、时、分、秒显示模块以及LED灯光模块等主要模块。
我们会首先对频率信号输入信号进行检测,确定时钟的起点和运行方式,然后发送给时钟控制模块,由其来决定时、分、秒的变化,最后将其计算出来的值传递给实际显示模块和LED 指示灯模块,实现所需的功能。
3.实验结果
通过数字电子技术的运用,我们最终实现了一个电子钟的设计,由此我们能得出一个完美的结果,即可以完美地显示出当前的时间,同时即使不同的输入频率时也能准确有效地跟踪记录所需的时间,从而得到一个完美的结果。
4.实验总结
通过本次实验,我们掌握了数字电子技术在嵌入式系统中具体的设计过程,从输入到输出的综合电路设计,明确了各个模块之间的连接关系,使得我们在比较复杂的设计里有强大的能力。
并且通过实践,探究了不同参数情况下的操作以及结果,扩大了我们对数字电子技术的了解,拓宽了设计方面的思路。
数字电子技术课程设计报告
(2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的 开始。
(3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手 编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入 编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。
(4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计 时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒, 同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答 时间,并保持到主持人将系统清零为止。
段译码器将数字显示在显示器上同时产生音响。主持人宣布开始抢答时,倒计时电路 启动由9计到0,如有选手抢答,倒计时停止。电路系统结构如图13:
课程设计
课程名称一数字电子技术课稈设计—
题目名称四人智力竞赛抢答器
学生学院
专业班级
学 号
学生姓名
指导教师
200年 月 日
一、设计题目3
二、设计任务和要求3
1.设计任务3
2.设计要求3
三、原理电路3
1.以锁存器为中心的编码显示电路4
2.脉冲产生电路5
3.倒计时显示电路5
4.音响电路6
5.整体电路7
四、电路调试过程及结果7
五、总结8
六、心得体会8
七、参考文献9
四人智力竞赛抢答器
设计题目
四人智力竞赛抢答器
设计任务和要求
数电课程设计(血型遗传规律分析电路)报告精选全文完整版
可编辑修改精选全文完整版课程设计课程名称:数字电子技术设计题目:血型遗传分析电路院系:指导教师:专业:学号:姓名:年月日目录No table of contents entries found.第一章设计目的1.本实验设计的研究目的主要是帮助学生掌握组合逻辑电路的分析和计算方法,培养学习专业知识能力。
2.通过血型遗传规律分析电路的设计,使学生在查阅资料、设计方案、参数选择、电路制作、系统调试等方面得到训练,并使学生在电路设计方面具有进一步发挥的余地。
3.根据遗传学中血型遗传规律,设计一种血型遗传规律分析电路。
使用时,只要按钮输入一组父母的血型,仪器能立即显示出子女可能的血型第二章设计要求1、根据电路设计指标的要求,本次设计血型遗传规律分析电路考虑由常用的TTL集成电路设计,由译码电路、按钮控制输入电路、译码显示电路等组成,用探针作为显示指示灯,显示子女的可能的血型。
图1 血型遗传规律分析电路设计方框图方案:血型遗传规律分析电路由两片74LS138译码器,若干与非门完成;方案材料表序号元器件名称规格型号数量备注1 3,8线译码器74LS138D 22、方案要经济实惠,还要更加直观方便的实现电路的功能,元件尽量少,连线布置更简单,维修方便。
第三章总体方案本设计用两片74LS138 线译码器以及逻辑门设计了一种血型遗传分析电路,电路中用单刀双掷开关控制输入端的高低电平来表示父母的血型情况,用灯泡的亮灭代表子女的可能的血型。
实现了输入父母血型就可以实现子女可能血型的设计。
通过用multisim的逻辑电路的仿真成功完成了电路测试。
血型遗传规律分析电路总原理图如图所示:图2 血型遗传电路原理图其主要功能为实现血型遗传规律的电路设计,电路主要由单刀双掷开关、3,8线译码器、与非门、探针组成.其工作原理如下:AB 代表父亲血型,CD代表母亲血型,则一共有16种血型配对的可能,所以本实验采用两片74LS138(译码器)级联,可完成4输入16输出功能血型配对真值表如下:在电路设计上,我们从子女的血型可能性入手,设计输出六组信号,每一组代表在父母的血型影响下孩子可能出现的血型根据设计指标中提供的血型配对表格,可多得到以下结果:实验电路图中对应的 ProdeX1 代表——B型和O型。
数字电子技术课程设计报告说明
数字电子技术课程设计报告说明1.八路数显竞赛抢答器电路概述八路数字抢答器结构框图如图所示,首先主持人将开关拨到“复位”状态,抢答器处于禁止工作状态,编号显示器灭灯,显示器显示设定时间;主持人宣布“开始抢答”,并将开关置于“开始”位置,抢答器工作,定时器倒计时。
当定时时间到,没有选手抢答时,系统封锁输入电路,禁止选手超时抢答。
选手在定时时间内抢答时,抢答器完成以下动作:优先判断抢答编号、编号锁存、编号显示、扬声器提示。
当一轮抢答之后,禁止二次抢答、显示器显示剩余时间。
如果再次抢答必须由主持人再次操作“复位”和“开始”状态开关。
2.总体电路设计抢答电路该电路完成两个功能:分辨选手按键先后,并锁存优先者编号,同时译码显示电路显示编号;禁止其他选手继续抢答。
报警电路在有效的时间内抢答,显示抢答编号的同时,蜂鸣器发出声响,主持人按复位后关闭。
倒计时电路由主持人根据抢答题的难易程度设定抢答时间。
3.各单元电路设计抢答电路首先介绍下3_4译码器子电路的设计,将两片74LS279的输出端加一是输出四位信号。
可以通过简单的逻辑变换进行电路设计,设计思路是将000、001、010、011、100、101、110、111三位输出八个状态转化为四位输出八个状态0001、0010、0011、0100、0101、0110、0111、1000,结合字发生器和逻辑变换器设计具体的电路如图:该电路采用该电路选用优先编码器74L148,SR锁存器74LS279,译码741S48完成上述功能,在图中将CTR与连接当开关S闭合时,RS触发器的端均为0,4个触发器输出置0,使74LS48的,显示器灯灭;741S148的使能端,使之处于工作状态,此时锁存电路不工作。
当开关S断开时,优先编码与锁存电路同时处于工作状态,即抢答器处于等待状态,当选手将键按下时(如按下S),74S148的输出,,经RS锁存后,CTR=1, ,74LS279输出011,经74LS48译码显示为“3”。
课程设计报告-数字电子技术基础
课程设计〔题目〕课程名称:数字电子技术根底报告书写要求:一、任务书二、目录三、容1、设计任务及目的〔黑体,小号〕容为小四,宋体2、设计方案论证〔黑体,三号〕〔可进展扩展或是创新设计〕容为小四,宋体3、设计方案选取与实现〔黑体,三号〕〔提出选择所选方案的理由、指出方案的可行性、优缺点,画出局部电路原理图〕容为小四,宋体4、整机调试与仿真〔黑体,三号〕〔给出整体电路及调试参数,进展必要的误差分析,给出仿真分析结果〕容为小四,宋体5、总结〔心得体会〕〔黑体,三号〕容为小四,宋体四、参考文献数电课程设计题目选1、抢答器2、交通灯3、彩灯控制4、数字时钟5、信号发生器题目实例:一、设计并制作一数字式温度计〖根本要求〗采用电桥法,利用PT~100热电阻对0~200℃测温围进展测量并送LED数码管显示,要求测量分辨率为0.1℃数据测量间隔时间为5秒〖提高要求〗1〕针对不同的铂热电阻讨论不同的温度信号测量方法2〕电路对测温电路进展非线性校正,提高测温精度〔电路非线性校正和EPROM查表法非线性校正两种方法〕3〕讨论误差的形成因素和减少误差的措施4〕进展简单的温度开关控制参考原理图如图〖主要参考元器件〗MCl4433(1),LM324(1),七段数码管(4),CD4511(1),MC1413(1),铂热电阻使用普通精细电位器代替。
二、十二小时电子钟〖根本要求〗利用根本数字电路制作小时电子钟,要求显示时分秒,并能实现校时的功能。
〖提高要求〗1〕针对影响电子钟走时精度的因素提出改良方案2〕增加日期显示3〕实现倒计时功能4〕整点报时功能5〕定时功能参考原理图如图三、电平感觉检测仪〖根本要求〗:采用光电式摇晃传感器,其检测围为±90℃,每摇晃一度传感器就输出一个脉冲信号,给计数单元,在给定时间测量到的脉冲数目就能说明该人的电平感觉,没试采用头戴式传感器,闭上双目,单脚立地,保持静止,开场测试。
定时时间为1分钟。
数字电子技术课程设计报告
数字电子技术课程设计报告一、设计目的和任务:本设计项目旨在设计一个数字钟,能够显示当前时间,并具备时间设置功能。
主要任务包括:设计数字时钟的电路原理图、PCB布局,选取合适的数码管和时钟芯片,完成数字时钟的硬件组装和软件编程。
二、设计原理和方案:1.数码管原理:数码管是一种显示设备,由8段共阳极(或共阴极)、7段共阴极(或共阳极)的LED组成。
每个LED可以独立控制亮灭,通过对应的引脚控制可以达到显示不同数字的效果。
2.时钟芯片原理:时钟芯片是一种集成电路,能够提供精确的时间信号。
通过和微处理器或微控制器的连接,可以实现对时间的读取和设置功能。
本设计方案采用四位共阴极的数码管显示当前时间,以及四个按键实现时间设置功能。
时钟芯片选用DS1302,它具备低功耗、抗干扰和精准计时等特点,通过SPI接口连接到单片机。
三、硬件设计:1.数码管显示电路:将四位共阴极数码管的8个段接口分别连接到单片机的GPIO口,通过控制GPIO口的电平变化,实现数码管显示0-9的数字。
2.时钟芯片连接电路:将DS1302的SCK、RST和DAT引脚分别接到单片机的SPI接口的对应引脚,以实现单片机和时钟芯片之间的信息交换。
3.按键电路:设计四个按键实现时间设置功能,通过连接到单片机的GPIO口,通过检测按键的状态变化来触发相应的时间设置操作。
四、软件设计:1.时钟初始化:在程序启动时,先进行时钟芯片的初始化,设置年月日时分秒的初始值。
2.读取时间:通过SPI接口读取时钟芯片的时间信息,包括年月日时分秒。
3.显示时间:将读取到的时间信息转换成相应的数字,通过控制数码管的GPIO口实现数字的显示。
4.时间设置:通过检测按键的状态变化,触发相应的时间设置操作,将设置的年月日时分秒信息写入到时钟芯片中。
五、结果和分析:经过硬件组装和软件编程,实现了数字时钟的设计。
通过按键可以设置时钟的年月日时分秒信息,数码管能够准确地显示当前时间。
数字电子技术课程设计报告(数字钟的设计)
数字电子技术课程设计报告(数字钟的设计)数字电子技术课程设计报告一、设计目的数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法.二、设计要求(1)设计指标①时间以12小时为一个周期;②显示时、分、秒;③具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;④计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时;⑤为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。
(2)设计要求①画出电路原理图(或仿真电路图);②元器件及参数选择;③电路仿真与调试;④PCB文件生成与打印输出。
(3)制作要求自行装配和调试,并能发现问题和解决问题。
(4)编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。
三、原理框图1.数字钟的构成数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。
由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。
通常使用石英晶体振荡器电路构成数字钟。
(a)数字钟组成框图2.晶体振荡器电路晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。
不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。
一般输出为方波的数字式晶体振荡器电路通常有两类,一类是用TTL门电路构成;另一类是通过CMOS非门构成的电路,本次设计采用了后一种。
数字电子技术课程设计报告
数字电子课程设计报告册抢答器与数字时钟班级:小组成员:2010/01/13一、设计目的1.掌握各类计数器及将它们相连的方法;2.掌握多个数码管动态显示的原理与方法;3.掌握用FPGA技术的层次化设计方法;4.进一步掌握用VHDL硬件描述语言的设计思想;5.了解有关数字系统的设计。
6.提高电路排版以及焊接能力二、设计要求1、三路抢答器1)三组参赛者在进行抢答时,当抢先者按下面前的按钮时,抢答器能准确判断出抢先者,并以光为标志。
2)抢答器应具有互锁功能,某组抢答后能自动封锁其他各组进行抢答。
3)系统应该有一个总复位开关。
2、24小时制的数字钟程序1)24小时计数显示,时、分、秒用六个数码管显示;2)具有校时功能(时,分);3)附加闹钟功能。
三、实验设备及其技术指标1、三路抢答器1)使用的器件主要有74LS00、发光二级管、74LS20、按键式开关、电阻。
2)三组参赛者在进行抢答时,当抢先者按下面前的按钮时,抢答器能准确判断出抢先者,并以光为标志。
抢答器应具有互锁功能,某组抢答后能自动封锁其他各组进行抢答。
13)系统应该有一个总复位开关2、24小时制的数字钟程序开发环境MAX—PLUSII,ZY11EDA13BE 试验系统,VHDL 语言.四、实验原理以及原理图1、三路抢答器2、24小时制的数字钟1)设计原理数字钟的主体是计数器,它记录并显示接收到的秒脉冲个数,其中秒和分为模60计数器,小时是模24计数器,分别产生3位BCD码。
BCD码经译码,驱动后接数码管显示电路。
2秒模60计数器的进位作为分模60计数器的时钟,分模60计数器的进位作为模24计数器的时钟。
为了实现手动调整时间,在外部增加了setm(调整分),seth(调整时)按键,当这两个按键为低电平时,电路正常计时,当为高电平时,分别调整分,时。
2)设计原理图3)设计程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity szz isport (clk,clk1,md1:in std_logic;3md2:in std_logic_vector(1 downto 0);speak:out std_logic;dout: out std_logic_vector(6 downto 0);selout:out std_logic_vector(2 downto 0)); end szz;architecture one of szz issignal sel: std_logic_vector(2 downto 0);signal hou1: std_logic_vector(3 downto 0);signal hou2: std_logic_vector(3 downto 0);signal min1: std_logic_vector(3 downto 0);signal min2: std_logic_vector(3 downto 0);signal sec1: std_logic_vector(3 downto 0);signal sec2: std_logic_vector(3 downto 0);signal seth1: std_logic_vector(3 downto 0);signal seth2: std_logic_vector(3 downto 0);signal setm1: std_logic_vector(3 downto 0);signal setm2: std_logic_vector(3 downto 0);signal data:std_logic_vector(23 downto 0);beginchoice:process(clk1)4beginif clk1'event and clk1='1' thenif sel ="101" then sel<="000";else sel<=sel+1;end if ;end if;end process choice;-----------------------------------------------小时十位h110:process(clk,hou2,min1,min2,sec1,sec2,md1,md2)beginif clk'event and clk='1' thenif (hou1="0010" and hou2="0011")and(min1="0101" and min2="1001") and (sec1="0101" and sec2="1001") thenhou1<="0000";elsif hou1="0010"and hou2="0011"and md1='0' and md2="01" then--当时间为23点且处于校时状态时hou1<="0000";elsif (hou2="1001"and(min1="0101" and min2="1001")and (sec1="0101" and sec2="1001"))or (hou2="1001"and md1='0' and md2="01")then5hou1<=hou1+1;end if;end if;end process h110;-----------------------------------------------小时个位h220:process(clk,min1,min2,sec1,sec2,md1,md2,hou1)beginif clk'event and clk='1' thenif (hou1="0010" and hou2="0011")and(min1="0101" and min2="1001") and (sec1="0101" and sec2="1001") thenhou2<="0000";elsif hou2="1001"and(min1="0101" and min2="1001")and (sec1="0101" and sec2="1001") thenhou2<="0000";elsif (hou2="1001"and md1='0' and md2="01")or (hou1="0010"and hou2="0011") thenhou2<="0000";--md<='1';elsif ((min1="0101" and min2="1001") and (sec1="0101" and sec2="1001")) or (md1='0' and md2="01") thenhou2<=hou2+1;--speak<=clk;6end if;end if;end process h220;-----------------------------------------------分钟十位m110:process(clk,min2,sec1,sec2,md1,md2)beginif clk'event and clk='1' thenif (min1="0101" and min2="1001") and (sec1="0101" and sec2="1001") then min1<="0000";elsif min1="0101"and min2="1001"and (md1='0' and md2="00")thenmin1<="0000";elsif (min2="1001"and (sec1="0101" and sec2="1001"))or (min2="1001"and md1='0' and md2="00")thenmin1<=min1+1;end if;end if;--end if;end process m110;----------------------------------------------分钟个位m220:process(clk,sec1,sec2,md1,md2)begin7if clk'event and clk='1' thenif min2="1001"and (sec1="0101" and sec2="1001")thenmin2<="0000";elsif min2="1001"and (md1='0' and md2="00")thenmin2<="0000";else if (sec1="0101" and sec2="1001") or(md1='0' and md2="00")then min2<=min2+1;end if;end if;end if;end process m220;---------------------------------------------秒十位s110:process(clk,sec2)beginif clk'event and clk='1' thenif (sec1="0101" and sec2="1001")thensec1<="0000";else if sec2="1001"thensec1<=sec1+1;end if;end if;end if;8end process s110;--------------------------------------------秒个位s220:process(clk)beginif clk'event and clk='1' thenif sec2="1001" thensec2<="0000";else sec2<=sec2+1;end if;end if;end process s220;---------------------------------时间设置小时sethour1:process(clk,seth1,seth2)beginif clk'event and clk='1' thenif seth1="0010"and seth2="0011" thenseth1<="0000";elsif seth2="1001" thenseth1<=seth1+1;end if;end if;9end process sethour1;------------------------------------------sethour2:process(clk,md1,md2,seth1)beginif clk'event and clk='1' thenif (seth1="0010"and seth2="0011")or seth2="1001"thenseth2<="0000";elsif md1='1' and md2="00" thenseth2<=seth2+1;end if;end if;end process sethour2;-------------------------------------------时间设置分钟部分setmin1:process(clk,setm2)beginif clk'event and clk='1' thenif setm1="0101"and setm2="1001"thensetm1<="0000";elsif setm2="1001"thensetm1<=setm1+1;10end if;end if;end process setmin1;----------------------------------------------setmin2:process(clk,md1,md2)beginif clk'event and clk='1'thenif setm2="1001"thensetm2<="0000";elsif md1='1' and md2="01"thensetm2<=setm2+1;end if;end if;end process setmin2;----------------------------------------------------------------------------------------闹铃speaker:process(clk,hou1,hou2,min1,min2)beginif clk'event and clk='1'thenif seth1=hou1 and seth2=hou2 and setm1=min1 and setm2=min2 then11speak<=clk;else speak<='0';end if;end if;end process speaker;-------------------------------------------disp:process(md1,hou1,hou2,min1,min2,sec1,sec2,seth1,seth2,setm1,se tm2,data,sel)beginif sel="101" thenselout <="101";case data(23 downto 20) iswhen "0000"=>dout<="1111110";when "0001"=>dout<="0110000";when "0010"=>dout<="1101101";when others=>dout<="1111110";end case;elsif sel ="100" thenselout<="100";case data(19 downto 16) iswhen "0000"=>dout<="1111110";12when "0010"=>dout<="1101101"; when "0011"=>dout<="1111001"; when "0100"=>dout<="0110011"; when "0101"=>dout<="1011011"; when "0110"=>dout<="1011111"; when "0111"=>dout<="1110000"; when "1000"=>dout<="1111111"; when "1001"=>dout<="1111011"; when others=>dout<="1111110"; end case;elsif sel="011" thenselout<="011";case data(15 downto 12) is when "0000"=>dout<="1111110"; when "0001"=>dout<="0110000"; when "0010"=>dout<="1101101"; when "0011"=>dout<="1111001"; when "0100"=>dout<="0110011"; when "0101"=>dout<="1011011";13end case;elsif sel ="010" thenselout<="010";case data(11 downto 8) iswhen "0000"=>dout<="1111110"; when "0001"=>dout<="0110000"; when "0010"=>dout<="1101101"; when "0011"=>dout<="1111001"; when "0100"=>dout<="0110011"; when "0101"=>dout<="1011011"; when "0110"=>dout<="1011111"; when "0111"=>dout<="1110000"; when "1000"=>dout<="1111111"; when "1001"=>dout<="1111011"; when others=>dout<="1111110"; end case;elsif sel ="001" thenselout<="001";case data(7 downto 4) is14when "0001"=>dout<="0110000"; when "0010"=>dout<="1101101"; when "0011"=>dout<="1111001"; when "0100"=>dout<="0110011"; when "0101"=>dout<="1011011"; when others=>dout<="1111110"; end case;elsif sel="000" thenselout <="000";case data(3 downto 0) iswhen "0000"=>dout<="1111110"; when "0001"=>dout<="0110000"; when "0010"=>dout<="1101101"; when "0011"=>dout<="1111001"; when "0100"=>dout<="0110011"; when "0101"=>dout<="1011011"; when "0110"=>dout<="1011111"; when "0111"=>dout<="1110000"; when "1000"=>dout<="1111111";15when others=>dout<="1111110";end case;else dout<="1111110";selout<="111";end if;if md1='0'then---------------计时时间显示和设置模data(23 downto 20)<=hou1;data(19 downto 16)<=hou2;data(15 downto 12)<=min1;data(11 downto 8)<=min2;data(7 downto 4)<=sec1;data(3 downto 0)<=sec2;else -----------闹铃时间现实和设置模式data(23 downto 20)<=seth1;data(19 downto 16)<=seth2;data(15 downto 12)<=setm1;data(11 downto 8)<=setm2;data(7 downto 4)<="1111";data(3 downto 0)<="1111";end if;end process disp;end one;五、心得体会经过了一段时间的努力我终于完成了三路抢答器的制作以及24小时制数字钟的设计,无论是从分析电路原理图,还是从方案的选择、再到设计与实现,每个过程中我们学习到了很多在课本上不能学习到的知16识,对一个产品也有了一个新的认识,以前大家都很普遍、都很简单的认为一个产品很容易就做出来了,现在我们都知道了每一个产品都需要。
数字电子关键技术专业课程设计方案报告
一、设计任务及要求二、经过对《数字电子技术》课程学习, 让同学掌握《数字电子技术》课程基础理论和方法, 加深学生对理论知识了解, 同时主动有效提升了学生动手能力, 独立思索和处理问题能力, 创新思维能力、协调能力, 和团结合作、互帮互助优良传统。
为了充足表现这些精神和能力, 所以让同学独立自主制造一个数字时钟, 故, 对同学设计数字时钟进行以下要求:三、时钟显示功效, 能够以十进制显示“时”, “分”, “秒”。
四、设计作用、目标(1). 在同学掌握《数字电子技术》课程基础理论和方法基础上, 加深学生对理论知识了解, 同时主动有效提升了学生动手能力, 独立思索和处理问题能力, 创新思维能力、协调能力, 和团结合作、互帮互助优良传统。
五、(2). 掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统设计、安装、测试方法;深入巩固所学理论知识, 提升利用所学知识分析和处理实际问题能力。
六、(3).熟悉集成电路引脚安排,掌握各芯片逻辑功效及使用方法了解面包板结构及其接线方法,了解数字钟组成及工作原理,熟悉数字钟设计和制作。
七、(4).掌握数字钟设计、调试方法。
八、设计过程1.方案设计和论证数字钟逻辑结构关键包含有六十进制计数器、二十四进制计数器(其中包含六十进制计数器和二十四进制计数器均由十进制计数器74LS160接成)、动态显示译码器、LED数码管显示步骤、555定时器(能够提供一个比较正确1Hz时钟脉冲), 时间设置步骤能够提供时间初始设置, 动态显示译码器提供将BCD代码(即8421码)译成数码显示管所需要驱动信号, 使LED数码管用十进制数字显示出BCD代码所表示数值。
数字钟电路系统组成框图:(1). 555定时器设计555定时器是一个多用途数字—模拟混合集成电路, 利用它能极方便地组成施密斯触发器、单稳态触发器和多谐振荡器。
因为使用灵活、方便, 所以555定时器在波形产生和变换、测量和控制、家用电器、电子玩具等很多领域中全部得到了应用。
数字电子技术的课程设计
数字电子技术的课程设计一、教学目标本节课的教学目标是让学生掌握数字电子技术的基本概念、原理和应用,提高学生的科学素养和实际操作能力,培养学生的创新意识和团队协作精神。
具体来说,知识目标包括了解数字电路的基本组成部分、掌握逻辑门电路的原理和应用、理解组合逻辑电路和时序逻辑电路的设计方法。
技能目标则要求学生能够使用电子设计软件进行简单的数字电路设计,并能通过实验验证电路的功能。
情感态度价值观目标则在于培养学生对电子技术的兴趣和好奇心,增强他们解决实际问题的信心和勇气。
二、教学内容本节课的教学内容主要包括数字电路的基本概念、逻辑门电路、组合逻辑电路和时序逻辑电路。
首先,我们会介绍数字电路的定义、特点和基本组成部分,让学生了解数字电路与模拟电路的区别。
接着,我们会讲解逻辑门电路的原理和应用,包括与门、或门、非门等基本逻辑门电路。
然后,我们会介绍组合逻辑电路的设计方法,让学生学会如何利用逻辑门电路实现组合逻辑功能。
最后,我们会讲解时序逻辑电路的原理和设计方法,包括触发器、计数器等常见时序逻辑电路。
三、教学方法为了达到本节课的教学目标,我们将采用多种教学方法,包括讲授法、讨论法、案例分析法和实验法。
在讲授法的基础上,我们会学生进行小组讨论,鼓励他们提出问题、分享观点,以提高学生的参与度和主动性。
同时,我们会通过案例分析法引导学生运用所学知识解决实际问题,提高他们的实践能力。
此外,实验法将在课堂上占据一定比例,让学生亲自动手操作,加深对数字电路的理解。
四、教学资源本节课的教学资源包括教材、参考书、多媒体资料和实验设备。
教材我们将使用《数字电子技术》一书,作为学生学习的基础资料。
参考书则包括《数字电路与逻辑设计》等,为学生提供更多的学习资源。
多媒体资料包括教学PPT、视频等,用于辅助课堂教学,提高学生的学习兴趣。
实验设备包括逻辑门电路实验板、数字电路实验箱等,让学生在实验中验证所学知识,提高实践能力。
五、教学评估本节课的教学评估将采用多元化评价方式,全面客观地评价学生的学习成果。
数字电子课程设计实习报告
数字电子课程设计实习报告一、课程目标知识目标:1. 学生能理解数字电子技术的基本原理,掌握常用数字电路的组成、功能及应用。
2. 学生能掌握数字电路的设计方法,运用所学知识完成简单的数字系统设计。
3. 学生能了解数字电路的测试与调试方法,对设计的电路进行验证和优化。
技能目标:1. 学生能运用所学知识,使用电路设计软件进行数字电路设计,提高实际操作能力。
2. 学生能通过课程设计实习,培养团队协作能力,提高沟通与表达能力。
3. 学生具备分析和解决数字电路实际问题的能力,能针对问题提出合理的解决方案。
情感态度价值观目标:1. 学生通过数字电子课程设计实习,培养对电子技术的兴趣和热情,提高学习的主动性和积极性。
2. 学生在学习过程中,树立正确的价值观,认识到科技对社会发展的作用,增强社会责任感。
3. 学生在团队协作中,学会尊重他人,培养合作精神,提高个人综合素质。
本课程针对高年级学生,结合学科特点,注重理论知识与实际操作相结合,旨在培养学生的创新能力和实践能力。
通过课程设计实习,使学生能够将所学知识应用于实际问题,提高解决实际问题的能力。
课程目标明确,分解为具体学习成果,便于教学设计和评估。
二、教学内容1. 数字逻辑基础:包括逻辑门电路、组合逻辑电路、时序逻辑电路的基本原理和功能。
2. 常用数字电路:分析触发器、计数器、寄存器等电路的组成、工作原理及应用。
3. 数字电路设计:学习数字电路设计方法,运用电路设计软件进行电路设计。
- 设计简单数字系统,如加法器、编码器、译码器等。
- 选用适当的逻辑器件,搭建完整的数字电路系统。
4. 数字电路测试与调试:介绍数字电路测试方法,学会使用测试仪器,对设计电路进行验证和优化。
5. 课程设计实践:结合教材内容,进行团队协作完成数字电路设计项目。
- 确定设计任务,分析需求,制定设计方案。
- 搭建电路,编写程序,进行仿真测试。
- 分析实验结果,撰写实习报告。
教学内容根据课程目标制定,涵盖数字电子技术的基本原理、常用电路、设计方法、测试调试及课程设计实践。
数字电路课程设计(5篇)
数字电路课程设计(5篇)第一篇:数字电路课程设计数字电路课程设计要求:1.结合所学知识设计一简单实用电路(建议选多功能数字钟),并在实验室里完成实物电路的连接调试。
2.每人独立完成一篇课程论文,论文至少2000字,可手写,也可打印(打印稿的格式另附)。
3.要求写出设计背景,理论基础,设计思路,设计过程,调试过程,仿真过程(可选),最终电路等。
4.总结所设计电路的优点,缺点,改进方向。
5.严禁抄袭,所有雷同论文均以0分计。
6.选多功能数字钟的同学在数字电路实验室完成实验。
选其它题目的同学所需软硬件资源请自行解决。
第二篇:数字电路课程设计一、设计报告书的要求: 1.封面2.课程设计任务书(题目,设计要求,技术指标等)3.前言(发展现状、课程设计的意义、设计课题的作用等方面)。
3.目录4.课题设计(⑴ 写出你考虑该问题的基本设计思路,画出一个实现电路功能的大致框图。
⑵ 画出框图中的各部分电路,对各部分电路的工作原理应作出说明。
⑶ 画出整个设计电路的原理电路图,并简要地说明电路的工作原理。
⑷ 用protel画原理电路图。
(5)用Multisim或者Proteus画仿真图。
5.总图。
6.课题小结(设计的心得和调试的结果)。
7.参考文献。
二、评分依据:①设计思路,②单元电路正确与否,③整体电路是否完整,④电路原理说明是否基本正确,⑤报告是否清晰,⑥答辩过程中回答问题是否基本正确。
三、题目选择:(三人一组,自由组合)(设计要求,技术指标自己选择)1、基于DC4011水箱水位自动控制器的设计与实现水箱水位自动控制器,电路采用CD4011四与非门作为处理芯片。
要求能够实现如下功能:水箱中的水位低于预定的水位时,自动启动水泵抽水;而当水箱中的水位达到预定的高水位时,使水泵停止抽水,始终保持水箱中有一定的水,既不会干,也不会溢,非常的实用而且方便。
2、基于CD4011声控、光控延时开关的设计与实现要求电路以CD4011作为中心元件,结合外围电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态,当有人经过该开关附近时,脚步声、说话声、拍手声等都能开启节电开关。
数字电子技术课程设计
数字电子技术课程设计一、教学目标本课程旨在让学生掌握数字电子技术的基本概念、原理和应用,培养学生运用数字电子技术解决实际问题的能力。
具体目标如下:1.知识目标:(1)了解数字电子技术的基本概念和原理;(2)掌握逻辑门、逻辑函数、逻辑电路的设计与分析方法;(3)熟悉常用的数字电路模块及其应用;(4)了解数字电子技术在实际工程中的应用。
2.技能目标:(1)能够使用基本的逻辑门电路搭建简单的数字电路;(2)能够运用逻辑函数进行分析与设计;(3)具备分析与解决数字电路问题的能力;(4)能够运用数字电子技术进行简单的项目设计与实践。
3.情感态度价值观目标:(1)培养学生对数字电子技术的兴趣,激发学生主动学习的热情;(2)培养学生团队合作精神,提高学生沟通与协作能力;(3)使学生认识到数字电子技术在现代社会中的重要性,培养学生的责任感和使命感。
二、教学内容本课程的教学内容主要包括以下几个部分:1.数字电子技术基本概念与原理:数字电路的基本概念、数字电路的逻辑基础、逻辑门电路等;2.逻辑函数及其分析方法:逻辑函数的定义、逻辑函数的简化方法、逻辑函数的分析与设计;3.逻辑电路:组合逻辑电路、时序逻辑电路、可编程逻辑器件等;4.数字电路设计与实践:数字电路的设计方法、数字电路的测试与验证、数字电路的实际应用;5.数字电子技术在工程中的应用:数字控制系统、数字信号处理、数字通信等。
三、教学方法为了提高教学效果,本课程将采用多种教学方法相结合的方式进行教学,包括:1.讲授法:通过讲解基本概念、原理和实例,使学生掌握数字电子技术的基本知识;2.讨论法:学生进行小组讨论,培养学生的思考能力与合作精神;3.案例分析法:分析实际案例,使学生了解数字电子技术在工程中的应用;4.实验法:引导学生进行实际操作,培养学生的动手能力与实际问题解决能力。
四、教学资源为了支持本课程的教学,我们将准备以下教学资源:1.教材:选用权威、实用的教材,为学生提供系统的学习材料;2.参考书:提供相关领域的参考书籍,丰富学生的知识体系;3.多媒体资料:制作课件、视频等多媒体资料,提高教学的直观性与趣味性;4.实验设备:配备齐全的实验设备,为学生提供动手实践的机会。
数字电子技术课程设计报告
数字电子技术课程设计报告专业班级: 电气工程及其自动化时间: 2013年7月1日至7月5日一、设计题目:简易电子琴设计二、题目要求:(1)单独从左至右按下S17每个按键后能够各自对应发出“哆来咪发唆啦西”的音乐声;(2)按下最右边按键(S8), 同时再配合按下S17键后, 发高八度的对应音;(3)按键需要进行“消抖”处理;(4)外部输入脉冲信号频率为1;(5)扩展要求: 自主设计(增加低8度功能)。
三、设计原理:(1)喇叭的振动频率不同, 导致产生不同的声音;振动频率越低, 声音越低沉, 振动频率越高, 声音越尖锐。
题目中音乐基本音的“哆”对应频率为523 、“来”对应频率为587 、“咪”对应频率为659 、“发”对应频率为698 、“唆”对应频率为784 、“啦”对应频率为880 、“西”对应频率为998。
低8度音:基本音频率/2, 例如低音1的频率为523/2=261.5。
高8度音:基本音频率×2, 例如高音1的频率为523×2=1046。
不同的频率产生利用给定的时钟脉冲来进行分频实现。
消抖的原理: 按键默认输入逻辑‘1’, 当有按键按下时对应的输入为逻辑‘0’(但会存在抖动), 当开始检测到该引脚从‘1’变为‘0’后开始定时(按键抖动时间大约10), 定时时间结束后若该引脚仍然为‘0’则表示确实发生按键按下, 否则视为抖动而不予以理会;按键松开过程的消抖处理和按下时原理一样。
(3)原理框图该原理图所描述的是当一个按键时先经过按键消抖模块将抖动消除, 在经过按键识别模块识别是哪个键被按下了, 在经过可控分频器将1的脉冲信号分成所需要的频率, 在经过二分频和音频驱动器将信号传到扬声器中发出声音。
四: 实验设计步骤及仿真结果1.按键消抖(1)原理:该类按键抖动时间大约10按键消抖状态机在3.4.5时1表示按键按下且稳定, 其他状态时0表示按键未按下或有抖动。
每个键是低电平有效, 键按下代表低电平, 原先没按下时是高电平0, 即1, 当检测到有低电平时, 程序将进行10的延时1, 延时结束后检测电平的高低2, 当为低电平时说明按键真的已被按下3, 若为高电平时说明按键没被按下只是有抖动, 状态又回到0;当稳定在3状态及低电平时, 又检测到有高电平4, 程序将进行200的延时5, 延时结束后检测电平的高低, 若为高电平, 说明按键已被松开又回到原状态0,若为低电平时说明按键还没被松开仍未低电平3。
数字电子技术课程设计报告杜
数字电子技术课程设计报告杜数字电子技术课程设计报告本次数字电子技术课程设计,团队选题为“数字高压发生器的设计与实现”,本文主要介绍该课程设计的背景、研究内容、设计方案、实验结果及存在问题等方面。
一、背景随着现代电子技术的高速发展,数字电路逐渐取代了模拟电路在各个领域的应用。
而数字电子技术的应用正不断地向高速、高精度、高可靠的方向发展。
在这样的背景下,数字高压发生器作为一种重要的数字电路应用,被广泛应用于高压电气设备的测试与试验、粒子加速器、核医学以及金属材料脆性松弛等领域。
数字高压发生器通常采用数字脉冲宽度调制技术,将脉冲宽度按一定比例进行调节,输出高电平达到所需要的电压;为提高输出电压的精度与灵敏度,还需要考虑时钟噪声的影响、温度漂移、电源纹波和仿真模型等因素的影响。
因此,本次课程设计的目的就是设计一台能够进行高精度输出的数字高压发生器。
二、研究内容本次数字高压发生器的设计涉及到的主要内容包括:数字高压发生器的基本原理、数字脉冲宽度调制技术、数字滤波技术、时钟噪声的影响、温度漂移、电源纹波、电阻电容积分配合的仿真模型等方面。
在以上基础上,本课程的主要研究内容如下:1. 数字高压发生器的基本原理和原理图设计2. 数字脉冲宽度调制技术的原理及代码实现3. 仿真分析数字滤波电路的选择和设计4. 分析和仿真时钟噪声的影响和解决办法5. 温度漂移的原因分析和恒压实验的电路设计6. 电源纹波的影响分析和电源滤波电路设计7. 电阻电容积分的原理及仿真模型设计三、设计方案1. 设计原理图本次数字高压发生器的原理图如下:2. 脉冲调制电路设计本次课程采用数字脉冲宽度调制技术,将脉冲宽度按一定比例进行调节,输出高电平达到所需要的电压,其调制电路如下:3. 数字滤波电路的选择和设计数字滤波电路设计是关键的一部分,其目的是对信号进行滤波,以去除高频噪声和频率干扰。
本次课程设计选用巴特沃斯滤波器(Butterworth Filter),其衰减特别快,可以更好的实现数字高压发生器的高压输出。
数电设计报告
七彩装饰灯控制电路一设计任务1.1设计目的和意义1.1.1目的本课题的设计目的:1. 掌握电子系统的设计和分析方法, 能进行独立的电子系统设计, 并掌握其设计基本方法在实践中的综合运用, 掌握电路设计的基本方法、步骤, 培养综合设计与调试能力, 提高分析和解决实际问题的能力。
2.学习基本的逻辑电路的设计方法,通过器件选择、电路仿真模拟、电路搭建等,增强实际动手操作能力,将理论与实际联系起来,更深刻的理解理论知识。
1.1.2意义课程设计是培养学生综合运用所学知识,发现,提出,分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程.随着科学技术发展的日新日异, 数字电子技术已经成为当今计算机应用中空前活跃的领域, 在生活中可以说得是无处不在。
因此作为二十一世纪的大学来说掌握数字电子技术是十分重要的。
而课程设计是深入学习, 真正掌握数字电子技术的有效途径:1.有利于基础知识的理解通过《数字电子技术基础》的学习, 掌握了数字技术基础知识和基本技能, 具备了在日常生活与学习中应用数字技术解决问题的基本态度与基本能力。
但是, 对于器件选择、电路仿真模拟、电路搭建等知识内容的理解比较肤浅。
通过课程设计就能真正理解, 从而进一步加强理论知识的学习。
2.有利于逻辑思维的锻炼在常规的理论学习中, 我们的思维常常处于混乱的状态。
写起作文来前言不搭后语, 解起数学题来步骤混乱, 这些都是缺乏思维训练的结果。
课程设计是公认的、最能直接有效地训练创新思维, 培养分析问题、解决问题能力的途径之一。
整个设计过程都需要有条理地构思, 中间有判断推理的抽象思维训练, 也有分析问题、解决问题、预测目标等能力的培养。
3、有利于与其他学科的整合在课程设计设计中, 我们会遇到与模拟电子技术、电路分析等相关问题, 通过课程设计可以加强各门相关课程之间的联系和学习, 可谓一举两得。
4、有利于治学态度的培养。
在课程设计中, 会遇到各种问题和困难, 可能要通过几次乃至十多次的反复修改、调试, 才能成功, 但这种现象会随着学习的深入而慢慢改观。
数字电子技术课设
Hale Waihona Puke 页4秒向分的进位信号的实现。 计分电路的关键问题是找到秒向分的进位信号。当秒电路计到 59 秒时,产生一 个高电平,在计到 60 时变为低电平,来一个下降沿送给计分电路做时钟。 计秒电路在计到 59 时的十位和个位的状态分别为 0101 和 1001, 把这四个 1 与起 来即可,即十位的 Q2 和 Q0,个位的 Q3 和 Q0,与的结果作为进位信号。使用 74LS20 四入与非门串反相器构成与门。计分电路与计秒电路一样,只是四入与门 产生的信号应标识为 59 分。 (2) 计时电路 用两片 74LS90 实现二十四进制计数器,首先把两片 74LS90 都接成十进制,并且 两片之间连接成具有十的进位关系, 即接成一百进制计数器, 然后在计到 24 时, 十位和个位同时清零。计到 24 时,十位的 Q1=1,个位的 Q2=1,应分别把这两 个信号连接到双方芯片的 R0(1)和 R0(2)端。 如个位的 Q2 接到两个 74LS90 的 R0(1) 清零端,十位的 Q1 接到两个 74LS90 的 R0(2)清零端。计时电路的个位时钟信号 来自秒、分电路产生 59 分 59 秒两个信号相与的结果, (3) 报时锁存信号 用秒个位的计数器输出进行四高一低的报时锁存信号。 现在来分析一下 50~59 秒 之间秒个位的状态。通过这些状态的观察发现,秒个位的和 Q0 逻辑与后,正好 在秒个位计到 1、3、5、7 时产生高电平,0、2、4、6 时产生低电平,可作低四 声报时的锁存信号;秒个位的 Q3 和 Q0 逻辑与后,正好在秒个位为 9 时产生高 电平,可作高音的报时锁存信号,这样就产生了两个报时锁存信号。 模拟交通灯: 1.打开 ISIS 7 Professional 窗口,执行菜单命令“File”-“New Design”
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
数字电子技术课程设计报告课题:数字钟的设计与制作
学年:09学年学期:第二学期
专业:民航机务工程
班级:0707301
姓名:070730123 欧阳晓宇
070730126 蔡秋政
时间:2009年6月20日—2009年6月26日
数字电子技术课程设计报告
一、设计目的
数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
因此,我们此次设计与制作数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法.
二、设计内容及要求
(1)设计指标
①由晶振电路产生1HZ标准秒信号;
②分、秒为00~59六十进制计数器;
③时为00~23二十四进制计数器;
④周显示从1~日为七进制计数器;
⑤具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;
⑥整点具有报时功能,当时间到达整点前鸣叫五次低音(500HZ),整点时再鸣叫一次
高音(1000HZ)。
(2)设计要求
①画出电路原理图(或仿真电路图);
②元器件及参数选择;
③电路仿真与调试。
(3)制作要求自行装配和调试,并能发现问题和解决问题。
(4)编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。
三、原理框图
数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。
由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。
通常使用石英晶体振荡器电路构成数字钟。
数字电子钟的总体图如图(1)所示。
由图(1)可见,数字电子钟由以下几部分组成:石英晶体振荡器和分频器组成的秒脉冲发生器;校对电路;六十进制秒、分计数器、二十进制时计数器及七十进制日计数器;以及秒、分、时的译码显示部分等。
四、主要部分的实现方案
1 秒脉冲电路
由晶振32768Hz经CD4060分频为2Hz,再经过74LS74一次分频,即得1Hz 标准秒脉冲,提供给时钟计数脉冲。
如图示:
20pF 74LS74
10 Q1Hz
CD4060 Q14C1
3—20pF 1D
11 R
32768Hz 22MΩ12
秒脉冲发生器
2时间计数器电路
由6个74LS90 计数器组成时分秒的计数电路,74LS90 是4位二进制同步加计数器,它的设置为多片集成计数器的级联提供方便。
它具有异步清零,同步并行预置数,保持和计数的功能。
(1)秒计数器
秒的个位计数单元为10进制计数器,当Q D Q C Q B Q A变成1010时,通过与非门把它的清零端变成0,计数器的输出被置零,跳过1011到1111的状态,又从0000开始,如此重复。
秒的十为计数单元为6进制,当Q D Q C Q B Q A变成0101时,通过与非门把它的清零端变成0,计数器的输出被置零,跳过0110到1111的状态,又从0000开始,如此就是60进制。
同时秒十位上的0101时,要把进位信号传输给“分”个位的计数单元。
(2)分计数器
分的个位和十位计数单元的状态转换和秒的是一样的,只是它要把进位信号传输给时的个位计数单元。
(3)时计数器
当“时”十位的Q D Q CQBQA为0000或0001时,“时”的个位计数单元是十进制计数器,当他的Q D Q C Q B Q A到1010时,通过与非门使得个位74LS90上的清零端为0,则计数器的输出直接置零,从0000有开始。
当十位的Q D Q C Q B Q A为0010时,通过与非门使得该74LS90的清零端为0,“时”的十位有重新从0000开始,此时的个位计数单元变成4进制,即当个位计数单元的Q D Q C Q B Q A为0100时,就要又从0000开始计数。
这样就实现了“时”24进制的计数
( 4 ) 日计数器
日计数器由两个74LS74,四个TTL 和一个74LS20 构成,实现了七定制的功能。
每个74LS74控制一个输入,即控制Q D Q C Q B Q A中的一个。
当从0000到0111 时,显示是按照74LS74集成们电路的逻辑功能来实现的,当为0111的时候,Q C Q B Q A各为1 1 1 ,他们三个通过74LS74 与非门输出为0 。
再与Q D所控的0 通过TTL集成门电路输出了0 ,如此循环,使得四个TTL 输出都为0000。
即使得输出变为了“置零”状态。
从而实现了七禁止循环。
如下图所示:
3数字钟的译码及显示单元电路
译码显示采用共阳极LED八段数码管和译码器74SL247组成。
4.整点报时电路
电路应在整点前10秒钟内开始整点报时,即当时间在59分54秒到59分59秒期间时,报时电路报时控制信号。
当时间在59分50秒到59分59秒期间时,分十位、分个位和秒十位均保持不变,分别为5、9和5,因此可将分计数器十位的Q C和Q A 、个位的Q D和Q A及秒计数器十位的QC 和QA相与,从而产生报时控制信号。
报时电路由74LS08高音和74LS04低音通过74LS32来构成。
五、实验过程中遇到的问题及解决方法
大部分的线路都连完了之后,我们用干电池进行了试验,结果发现数码管不发光。
于是重新检查电路,发现有几处的地线与地线、电源线与电源线之间没有连接,连上后数码管可以正常发光。
但是问题接踵而至,数字不会变动,即没有起振。
检查晶振等原件及附近电路,未发现问题。
再检查74LS90的接线,发现管脚多处未接地,接上后数字开始跳动。
秒的显示正常,但是不进位,经过检查发现是某一根线接错了,改正后进位正常。
时、分、秒的显示都正常后,日的显示又出现了问题。
在欧阳同学反复检查与试验之下,终于发现了错误的根源。
纠正了接错的导线之后,终于,数字钟可以正常显示时间。
当遇到蜂鸣器不能在要求的时间上发声时,我们请教了部分已经完成设计的同学,了解到了一些可能的原因,对这些可能一一试验,究其根本,并最终解决了问题,达到了预期的目标。
六、心得体会
通过这次对数字钟的设计与制作,让我们了解了设计电路的程序,也让我们了解了数字钟的原理和设计理念。
要设计一个电路总要对着一个参考电路图才可以连接,但是最后的成品却不一定与想象的完全一样,因为在事迹接线中有着各种各样的条件制约,所以要合理布局这样连出来的成品才比较美观。
设计过程中,在一次又一次的失败面前,我们没有退缩,而是勇敢的去面对,积极的去解决,充分运用所学知识和他人的帮助,最终取得了成功。
通过亲自动手连线,试验,遇到问题,解决问题,我们巩固了书本的知识,同时也学到了新的学问,明白了实践的可贵性。
动手能力的提高,细心与耐心的培养,品尝自己劳动成果的喜悦,是我们在这次课程设计中最大的收获。
七、元器件
1.四连面包板1块
2.镊子1把
3.剪刀1把
4.共阳八段数码管7个
5.导线若干
6.74LS90 集成块6块
7.CD4060集成块1块
8.74LS247集成块7块
9.74LS20 集成块1块
10.74LS00 集成块1块
11.74LS08集成块2块
12.74LS32 集成块1块
13.74LS04 集成块1块
14 74LS74 集成块4块
15.32.768k时钟晶体1个
16.22pF和20pF可调电容各一个
17.三极管8050一个
18.300Ω7个22MΩ一个1KΩ一个10KΩ一个
八、参考资料及文献
参考资料:
《电子技术基础(数字部分)(第四版)》
《电路及电子技术实验》
《电工电子技术实践教材》。