2012 09级EDA课程设计题目

合集下载

eda课程设计题目答案

eda课程设计题目答案

eda课程设计题目答案一、教学目标本课程的教学目标是使学生掌握eda的基本概念、原理和应用方法,培养学生分析问题和解决问题的能力,提高学生的创新意识和实践能力。

具体来说,知识目标包括:了解eda的基本概念、原理和流程;掌握常见的eda工具和软件的使用方法;了解eda在电子设计中的应用领域。

技能目标包括:能够运用eda工具进行基本的电路设计和仿真;能够根据设计需求选择合适的eda工具和软件;能够对设计结果进行分析和评估。

情感态度价值观目标包括:培养学生对eda技术的兴趣和热情;培养学生勇于探索、创新和合作的精神;培养学生关注社会、关注科技发展的意识。

二、教学内容本课程的教学内容主要包括eda的基本概念、原理和应用方法。

具体安排如下:第1章:eda概述,介绍eda的定义、发展历程和应用领域;第2章:eda工具和软件,介绍常见的eda工具和软件的使用方法;第3章:电路设计,介绍电路设计的基本原理和方法;第4章:仿真与验证,介绍仿真与验证的基本原理和方法;第5章:eda应用案例,介绍eda在实际项目中的应用案例。

三、教学方法为了实现本课程的教学目标,我们将采用多种教学方法,包括讲授法、讨论法、案例分析法和实验法等。

具体安排如下:第1章:采用讲授法,介绍eda的基本概念和原理;第2章:采用讨论法,引导学生探讨eda工具和软件的使用方法;第3章:采用案例分析法,分析实际电路设计案例;第4章:采用实验法,让学生动手进行电路设计和仿真;第5章:采用讲授法和讨论法,总结本课程的主要内容和知识点。

四、教学资源为了支持本课程的教学内容和教学方法的实施,我们将准备以下教学资源:教材:《eda技术与应用》;参考书:《电子设计自动化原理与应用》;多媒体资料:教学PPT、视频教程等;实验设备:计算机、eda工具软件、电路实验板等。

以上教学资源将有助于丰富学生的学习体验,提高学生的学习效果。

五、教学评估本课程的教学评估将采用多元化的评估方式,以全面、客观、公正地评价学生的学习成果。

2012年EDA课程设计题目与任务

2012年EDA课程设计题目与任务

2012年EDA课程设计题目与任务一、打地虫游戏设计功能:由8只LED代表虫洞,8个按键代表打虫棒,虫子随机在8虫洞随机出现(LED亮)1s,1s内按相应的虫棒即算打中地虫,得一分,按错或1S内按过其他键或未按键即丢1分。

间隔2S出现下一只虫子。

10只虫算一关,得分>0即进入下一关,重新从0积分,否则游戏结束。

要求:上电时数码管显示“STAr--00”并闪烁,按“开始”键,进入第一局;打关时数码管显示关数和分数;过关时数码管显示“STAr--XX”并闪烁(XX为下一关数),按“开始”键,进入下一关;每过一关,虫子出现的时间为上一关的3/4,共设计8关。

8关全过时显示“--end --”发挥:声效、过关音乐等。

二、多功能数字钟功能:时钟显示HH:MM:SS日历显示YY:MM:DD定闹时间HH:MM:SS整点报时:几点响几下半点报时:短响一下定闹响铃:双音闹铃要求:共两个按键:“显示模式”键、“校表”键显示模式选择:一个按键,用三个指示灯指示;校表功能:长按校表键,进入或退出校表模式,进入校表模式后,先校时钟,秒个位闪烁,短按此键换显示位(如秒十位闪烁)依次类推;长按模式选择键可更换校表内容(如日历),短按模式选择键,闪烁位加一。

发挥:止闹、延时止闹、音乐闹铃等三、1Hz~10KHz任意频率矩形波发生器功能:采用直接数字频率合成(DDS)技术原理设计,由按键设置输出频率,数码管低5位显示输出频率值;按键设置输出信号占空比,数码管高两位显示输出信号占空比,占空比类型为固定为7种:8:1、4:1、2:1、1:1、1:2、1:4、1:8、,对应显示为:8.1、4.1、2.1、1.1、1.2、1.4、1.8。

要求:输出频率设定采用5个按键,分别对频率值的个位、十位、百位、千位及十千位设置,按键时对应位的数值+1,0~9循环,显示值即输出频率值。

占空比设置用一个按键,占空比7中类型循环。

信号同时输出给蜂鸣器。

EDA综合课程设计(2012)

EDA综合课程设计(2012)

四、实验内容及步骤: 实验内容及步骤:
1.根据电路持点, 用层次设计概念将此设计任务分成若干模 根据电路持点, 根据电路持点 规定每一模块的功能和各模块之间的接口。 块,规定每一模块的功能和各模块之间的接口。让几个学生 分做和调试其中之一,然后再将各模块合起来联试。 分做和调试其中之一,然后再将各模块合起来联试。以培养 学生之间的合作精神,同时加深层次化设计概念。 学生之间的合作精神,同时加深层次化设计概念。 2.了解软件的元件管理深层含义, 以及模块元件之间的连接 了解软件的元件管理深层含义, 了解软件的元件管理深层含义 概念,对于不同目录下的同一设计,如何熔合。 概念,对于不同目录下的同一设计,如何熔合。 3.适配划分前后的仿真内容有何不同概念, 仿真信号对象有 适配划分前后的仿真内容有何不同概念, 适配划分前后的仿真内容有何不同概念 何不同,让学生有更深一步了解。熟悉了CPLD设计的调试 何不同 , 让学生有更深一步了解 。 熟悉了 设计的调试 过程中手段的多样化。 过程中手段的多样化。 4.按适配划分后的管脚定位, 同相关功能块硬件电路接口连 按适配划分后的管脚定位, 按适配划分后的管脚定位 线。 5 所有模块全用 所有模块全用VHDL语言描述。 语言描述。 语言描述
SEL3 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0
SEL2 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0
SEL1 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0
SEL0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0
点亮列号 第1列 第2列 第3列 第4列 第5列 第6列 第7列 第8列 第9列 第10列 第11列 第12列 第13列 第14列 第15列 第16列

EDA单片机课程设计大全

EDA单片机课程设计大全

EDA单片机课程设计大全第一篇:EDA单片机课程设计大全EDA与单片机课程设计安排EDA课程设计参考题目课程设计一:五人抢答器要求:1、五人抢答逻辑:只有一个最先抢答有效。

2、在主持人控制下,10秒内抢答有效。

3、采用数码管显示抢答10秒倒计时,若有抢答直接结束,显示结果。

4、抢答结束后用数码管显示抢答结果:抢答有效人编号;若有异常(提前抢答,犯规),显示E,本次抢答结束。

5、设主持人控制键、复位键。

控制键:启动抢答复位键:系统复位课程设计二:五人多数表决器要求:1、五人多数表决逻辑:多数通过。

2、在主持人控制下,10秒内表决有效。

3、采用数码管显示表决10秒倒计时。

4、表决结束后用发光二极管及数码管显示表决结果,数码管显示结果:通过、不通过。

5、设主持人控制键、复位键。

控制键:启动表决复位键:系统复位课程设计三:日历和数字种要求:1、数字钟:时、分,小时用二十四进制显示,分钟用六十进制显示。

2、日历为30天,并显示星期几。

3、显示采用动态数码管显示方式或静态显示方式。

动态时显示八位:日期星期几小时分钟静态时显示四位:分别显示(日期30天星期)和(小时分钟)采用控制键切换或周期轮流显示。

课程设计四:LED彩灯控制器设计要求: 1、9个发光管6种花样自动变换,循环往复。

2、彩灯花样变换的快慢节拍可以选择。

3、系统复位清零开关。

课程设计五:汽车尾灯控制器设计要求:1、汽车车尾左右两侧各有三盏灯:黄灯、红灯、照明灯(远光、近光)2、白天正常行驶时照明灯都不亮,夜间行驶时两个前照明灯都亮。

3、左转弯时左侧黄灯亮,右转弯时右侧黄灯亮。

4、汽车刹车时,两个红灯都亮。

5、夜间行驶时红色尾灯闪烁。

单片机课程设计参考题目课程设计一:秒表要求:1、整数和小数各两位,共计四位数;2、同时对两对象(A、B)计时;3、设开始、停止A、停止B、显示A、显示B、复位按键。

课程设计二:交通灯控制器要求:1、红灯和绿灯相互转换时经过黄灯,黄灯闪烁三次(三秒);2、主干道方向通行30秒,辅干道方向通行20秒;3、以十字形路口的交通灯设计课程设计三:数字钟要求:1、显示时、分,小时用二十四进制显示,分钟用六十进制显示。

EDA课程设计题目及报告格式

EDA课程设计题目及报告格式

一、数字秒表的设计*设计一个计时范围为0.01秒~1小时的数字秒表,首先需要获得一个比较精确的计时基准信号,这里是周期为1/100 s的计时脉冲。

其次,除了对每一计数器需设置清零信号输入外,还需在六个计数器设置时钟使能信号,即计时允许信号,以便作为秒表的计时起、停控制开关。

因此数字秒表可由一个分频器、四个十进制计数器(1/100秒、1/10秒、1秒、1分)以及两个六进制计数器(10秒、10分)组成。

二、交通灯信号控制器的设计**(1) 主、支干道各设有一个绿、黄、红指示灯,两个显示数码管。

(2) 主干道处于常允许通行状态,而支干道有车来才允许通行。

(3) 当主、支道均有车时,两者交替允许通行,主干道每次放行45 s,支干道每次放行25 s,在每次由亮绿灯变成亮红灯的转换过程中,要亮5 s的黄灯作为过渡,并进行减计时显示。

三、电子密码锁**(1) 数码输入:每按下一个数字键,就输入一个数值,并在显示器上的最右方显示出该数值,同时将先前输入的数据依序左移一个数字位置。

(2) 数码清除:按下此键可清除前面所有的输入值,清除成为“0000”。

(3) 密码更改:按下此键时会将目前的数字设定成新的密码。

(4) 激活电锁:按下此键可将密码锁上锁。

(5) 解除电锁:按下此键会检查输入的密码是否正确,密码正确即开锁。

四、智力竞赛抢答器的设计**(1) 设计制作一个可容纳四组参赛者的数字智力抢答器,每组设置一个抢答按钮供抢答者使用。

(2) 电路具有第一抢答信号的鉴别和锁存功能。

(3) 设置计分电路。

(4) 设置犯规电路。

五、多功能信号发生器的设计**设计一个多功能信号发生器,根据输入信号的选择可以输出递增锯齿波、递减锯齿波、三角波、阶梯波和方波等5种信号。

六、多功能数字钟*1.能进行正常的时、分、秒计时功能,分别由6个数码管显示24小时、60分钟、60秒钟的计数器显示。

2.能利用按键实现“校时”“校分”“闹钟”功能*七、多路彩灯控制器*设计一个十六路彩灯控制器,6种花型循环变化,有清零开关,并且可以选择快慢两种节拍。

EDA课程设计题目

EDA课程设计题目

EDA课程设计题目
题目一:正弦信号发生器设计,要求:
1、与D/A转换电路配合,产生一路频率范围为1KHz~20KHz的正弦信号,输出
频率、幅度可调;
2、频率、幅度的设定通过按键增减来实现,频率调整步长为1KHz,幅度调整步
长为500mV。

题目二:乐曲硬件演奏电路设计,要求:
1、FPGA配合按键、蜂鸣器演奏一段简单音乐;
2、当前演奏音调对应的阿拉伯数字用一位数码管显示;
3、用LED灯亮的个数指示当前演奏音调。

题目三:计时电路设计,要求:
1、具有小时、分钟、秒计时功能,并在数码管上实时显示,显示模式为12小时制和24小时制可选
2、可以对时钟进行设置
3、具有设置闹钟使能、设置功能,并能进行闹钟提醒。

题目四:串口通信电路设计
要求:
(1)设计一个全双工串口收发控制程序,速率为9600b/s,其中数据帧格式为:1位起始位+8位数据+1位奇偶校验位+1位停止位。

(2)通过连接两个实验箱,验证通信功能(如通过一个实验箱按键,另一个实验箱显示)。

题目五:数字频率计设计,要求:
1、所测频率的误差恒为百万分之一;
2、测频范围为0.1Hz~10MHz。

题目六:灯柱控制电路设计。

要求:
1、通过调节旋钮,在数码管上显示当前电压值
2、随着旋钮旋动时,LED 灯柱改变点亮的长度,蜂鸣器改变发出的音调。

EDA技术课程设计题目

EDA技术课程设计题目

《EDA技术》课程设计一、课程设计目的《EDA技术》是电气自动化、自动控制、机械电子类专业重要的专业课之一,它不但要求有较高的理论水平,而且还要求有较强的实际动手能力。

本课程的主要目的是提高实践能力,包括提高VHDL语言的编程能力(电子设计自动化)及对接口等硬件的理解分析能力和设计能力。

一般来讲,课程设计比教学实验复杂一些,涉及的深度更广并更加接近实用。

目的是通过课程设计的综合训练,培养学生实际分析问题、编程和动手能力,最终帮助学生系统掌握该门课程的主要内容,更好的完成教学任务。

二、课程设计要求:1、课程设计要求扎扎实实完成,绝不走过场。

2、培养独立思考,独立动手,独立查阅资料,严谨治学,一丝不苟的工作作风,培养会检索查阅资料,使用资料的能力,严禁抄袭,不求甚解。

3、培养形成独立分析问题,解决问题的能力,为毕业后工作打好基础。

4、有关问题按课程设计大纲要求进行。

三、课程设计时间及安排课程设计集中在二周(10天)进行。

为保证达到预计的教学任务及目的,以小组为单位分别进行资料的收集、方案论证、电路设计、编程、调试、实验及改进。

具体进度及要求安排如下:四、课程设计的考查设计成绩根据平时考勤、阶段性进度检查、质疑,设计说明书最后验收,最终设计答辩多个环节综合评定。

在集中调试期间,学生不得无故请假或缺勤,缺勤累计达三分之一者,指导教师可直接定为设计成绩不及格。

五、课程设计说明书(报告)撰写要求1、课程设计计算说明书正文基本要求:(1)书写整洁、论述清楚、计算正确(2)论述方面内容应图文并茂、重点突出2、课程设计计算说明书应包括以下内容:(1)设计题目及封面:主要填写工程名称,编写人、编制日期等。

(2)目录(3)摘要:论文的主要内容(4)设计的各个部分论述(并附有各个模块的源程序)(5)设计总结和心得体会(6)参考资料(资料编号、作者、书名、出版单位、出版年月)2EDA 技术课程设计指导书 电气自动化教研室3设计题目一:电子秒表的设计一、设计题目电子秒表的设计二、设计目的1、熟悉VHDL 语言2、学习电子秒表的设计,调试,仿真以及对仿真波形的调试 三、设计要求(数字钟的功能)1.具有时,分,秒,计数显示功能,以24小时循环计时; 2.设置启动、停止开关,具有启动和停止计时操作的功能; 3.要求计时精度为0.01S ,最长时间24H ;4.具有清零,调节小时、分钟功能;(拓展功能选做)5.具有整点报时功能,整点报时的同时LED 灯花样显示。

EDA课程设计---八位数码管扫描显示电路的设计

EDA课程设计---八位数码管扫描显示电路的设计

《EDA技术及应用》课程设计报告题目:八位数码管扫描显示电路的设计院(系):机电与自动化学院专业班级:电气自动化技术1001学生姓名:学号:指导教师:2012年6月10日至2012年6月23日《EDA技术及应用》课程设计任务书一、设计题目八位数码管扫描显示电路的设计二、设计主要内容本课题要求掌握使用Quartus II设计数字系统的设计思路和设计方法。

学习VHDL基本逻辑电路的综合设计应用。

掌握VHDL语言的语法规范,掌握时序电路描述方法。

掌握多个数码管动态扫描显示的原理及设计方法。

设计一个八位数码管共阴极动态扫描显示控制电路,要求显示学生自己的学号。

利用实验室设备完成系统设计并进行运行调试。

1、具体设计内容如下:(1)静止显示学号;(2)动态循环显示学号。

2、提供设计报告,报告要求包括以下内容:设计思路、设计输入文件、设计与调试过程、模拟仿真结果和设计结论。

三、原始资料1、LED显示模块原理LED有段码和位码之分,所谓段码就是让LED显示出“8.”的八位数据,一般情况下要通过一个译码电路,将输入的4位2进制数转换为与LED显示对应的8位段码。

位码也就是LED的显示使能端,对于共阳级的LED而言,高电平使能。

要让8个LED同时工作,显示数据,就是要不停的循环扫描每一个LED,并在使能每一个LED的同时,输入所需显示的数据对应的8位段码。

虽然8个LED是依次显示,但是受视觉分辨率的影响,看到的现象是8个LED同时工作。

多个数码管动态扫描显示,是将所有数码管的相同段并联在一起,通过选通信号分时控制各个数码管的公共端,循环点亮多个数码管,并利用人眼的视觉暂留现象,只要扫描的频率大于50Hz,将看不到闪烁现象。

2、系统结构图信号名与芯片引脚对照表硬件资源元件引脚EP3C引脚序号电路使用说明LED 数码显示A 133该部分电路为固定电路。

使用LED数码显示时请按照器件引脚分配表进行引脚分配后再下载到芯片中。

EDA课程设计题目_图文.(共5篇)

EDA课程设计题目_图文.(共5篇)

EDA课程设计题目_图文.(共5篇)第一篇:EDA课程设计题目_图文.课程设计题目设计一数字式竞赛抢答器1、设计一个可容纳6 组(或4 组参赛的数字式抢答器,每组设一个按钮,供抢答使用。

2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。

3、设置一个主持人“复位”按钮。

4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出2~3 秒的音响。

5、设置一个计分电路,每组开始预置100 分,由主持人记分,答对一次加10 分,答错一次减10 分。

教学提示:1、此设计问题的关键是准确判断出第一抢答者并将其锁存,实现的方法可使用触发器或锁存器,在得到第一信号后将输入封锁,使其它组的抢答信号无效。

2、形成第一抢答信号后,用编码、译码及数码显示电路显示第一抢答者的组别,用第一抢答信号推动扬声器发出音响。

3、计分电路采用十进制加/减计数器、数码管显示,由于每次都是加/减10 分,所以个位始终为零,只要十位、百位进行加/减运算即可。

设计二数字钟1、设计一个能显示1/10秒、秒、分、时的12小时数字钟。

2、熟练掌握各种计数器的使用。

3、能用计数器构成十进制、六十进制、十二进制等所需进制的计数器。

4、能用低位的进位输出构成高位的计数脉冲。

教学提示:1、时钟源使用频率为0.1Hz的连续脉冲。

2、设置两个按钮,一个供“开始”及“停止”用,一个供系统“复位”用。

3、时钟显示使用数码管显示。

4、“时显示”部分应注意12点后显示1点。

5、注意各部分的关系,由低位到高位逐级设计、调试。

设计三数字频率计1、设计一个能测量方波信号的频率的频率计。

2、测量的频率范围是0?999999Hz。

3、结果用十进制数显示。

教学提示:1、脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式为,f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。

所以,在1秒时间内计数器所记录的结果,就是被测信号的频率。

EDA课程设计参考题目

EDA课程设计参考题目

附录III EDA课程设计参考题目一、数码管显示控制器要求:1.能自动依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列),0、1、2、3、4、5、6、7、0、1(音乐符号序列);然后再从头循环;2.打开电源自动复位,从自然数列开始显示。

二、乒乓球游戏机要求:1.用8个发光二极管表示球;用两个按钮分别表示甲乙两个球员的球拍;2.一方发球后,球以固定速度向另一方运动(发光二极管依次点亮),当球达到最后一个发光二极管时,对方击球(按下按钮)球将向相反方向运动,在其他时候击球视为犯规,给对方加1分;都犯规,各自加1分;3.甲、乙各有一数码管计分;4.裁判有一个按钮,是系统初始化,每次得分后,按下一次。

三、智力竞赛抢答器要求:1.五人参赛每人一个按钮,主持人一个按钮,按下就开始;2.每人一个发光二极管,抢中者灯亮;3.有人抢答时,喇叭响两秒钟;4.答题时限为10秒钟,从有人抢答开始,用数码管倒计时间,0、9、8…1、0;倒计时到0的时候,喇叭发出两秒声响。

四、双钮电子锁要求:1.有两个按钮A和B,开锁密码可自设,如(3、5、7、9);2.若按B钮,则门铃响;(滴、嗒…);3.开锁过程:按3下A,按一下B,则3579中的“3”即被输入;接着按5下A,按一下B,则输入“5”;依此类推,直到输入完“9”,按B,则锁被打开——用发光管KS表示;4.报警:在输入3、5、6、9过程后,如果输入与密码不同,则报警;用发光管BJ表示,同时发出“嘟、嘟……的报警声音;5.用一个开关表示关门(即闭锁)。

五、数字钟要求:1.输入10HZ的时钟;(提示:对已有kHz频率时钟进行分频)2.能显示时、分、秒,24小时制;4.时和分有校正功能;注意:硬件资源的节约,否则器件内资源会枯竭。

六、交通灯控制器要求:1.东西方向为主干道,南北方向为副干道;2.主干道通行40秒后,若副干道无车,仍主干道通行,否则转换;4.换向时要有4秒的黄灯期;5.南北通行时间为20秒,到时间则转换,若未到时,但是南北方向已经无车,也要转换。

eda课程设计30个题目

eda课程设计30个题目

eda课程设计30个题目1数字频率计1)设计一个能测量方波信号的频率的频率计。

2)测量的频率范围是0 Hz。

3)结果用十进制数显示。

2乒乓球游戏机1)用8个发光二极管表示球;用两个按钮分别表示甲乙两个球员的球拍2)一方发球后,球以固定速度向另一方运动(发光二极管依次电量),当球达到最后一个发光二极管时,对方击球(按下按钮)球将向相反方向运动,在其他时候击球视为犯规,给对方加1分;都犯规,各加1分;3)甲乙各有数码管计分4)裁判有一按钮,可系统初始化,每次得分后,按下一次3彩灯控制器1)设计一个彩灯控制器,使十个彩灯(LED管)能连续发出四种以上不同的显示形式(如奇数依次亮),;2)随着彩灯显示图案的变化,发出不同的音响声(可用不同频率的矩形波产生)。

4速度表1)显示汽车时速Km/h2)车轮每转一圈,有一个传感脉冲;每个脉冲代表1m的距离3)采样周期设为10S4)*要求显示到小数点后2位5)数码管显示6)*超速报警5拔河游戏机1)设计一个能进行拔河游戏的电路。

2)电路使用15个(或9个)发光二极管,开机后只有中间一个发亮,此即拔河的中心点。

3)游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。

4)亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。

5)*用数码管显示获胜者的盘数6 6 数字跑表1)具有暂停/启动功能2)具有重新开始功能3)用6个数码管分别显示百分秒、秒和分钟4)能计两个人跑步时间,并能选择显示77 电梯控制器1)5层电梯控制器,用5个led显示电梯行进过程,并用数码管显示电梯当前所在楼层位置,每层电梯入口处设有上楼和下楼请求按钮,按下按钮,则相应楼层的led亮2)电梯到达请求楼层,相应的请求led灯灭,电梯门打开,开门5S,电梯自动关门,继续上行(下行)3)每层设有电梯上行和下行指示灯4)能记忆电梯内部的请求信号88 篮球计分器1)具有30秒计时、显示2)可对计时器清零、置数、启动和暂停3)30秒倒计时4)两个数码管显示两队比分5)超时报警并可解除报警99电子琴1)设计一个简易电子琴;2)利用一基准脉冲产生1,2,3,。

EDA课程设计——篮球球比赛计分器_2

EDA课程设计——篮球球比赛计分器_2

<<电子设计自动化EDA技术>>课程设计报告题目: 篮球比赛记分牌姓名:院系:专业:学号:指导教师:完成时间: 年月日目录1 课程设计题目`内容与要求………………………1.1 设计内容1.2 具体要求2系统设计…………………………2.1 设计思路2.2 系统原理3 系统实现……………………………………………4 系统仿真……………………………………………5硬件验证(操作)说明………………………………6 总结…………………………………………………7参考书目……………………………………………一、课程设计题目、内容与要求1.1课程设计的题目: 篮球比赛记分牌1、1.2课程设计内容:2、根据比赛实际情况记录两队得分, 罚球进的1分, 进球的2分;3、记分牌要具有纠错功能, 能减1分、2分功能;4、利用3个译码显示管输出比赛的分;二、系统设计2.1设计思路:篮球比赛记分牌是记录两队比赛的得分情况, 并能够进行纠错功能;根据系统设计的要求, 篮球记分牌的电路原理框图如下:2.2 系统原理与设计说明系统各个模块的功能如下:1.D触发器电路模块实现翻转功能当出错时, 输出为1, 使电路回到上一个正确的状态。

2.4为二进制全加器电路模块实现加法计数功能。

3、移位寄存器电路模块保存比赛两队得分情况的4个相邻状态, 出错时将调用上一个正确状态。

4.二选一数据选择器电路模块用来控制移位寄存器5. LED数码管驱动电路模块三、系统实现各模块电路的源程序如下:1、D触发器电路模块及程序:set输入(Q=1), 清零应该可以用复位键reset吧(Q=0)。

library ieee;use ieee.std_logic_1164.all;entity sync_rsdff isport(d,clk : in std_logic;set : in std_logic;reset: in std_logic;q,qb : out std_logic);end sync_rsdff;architecture rtl_arc of sync_rsdff isbeginprocess(clk)beginif (clk'event and clk='1') thenif(set='0' and reset='1') thenq<='1';qb<='0';elsif (set='1' and reset='0') thenq<='0';qb<='1';elseq<=d;qb<=not d;end if;end if;end process;end rtl_arc;移位寄存器模块电路及程序:library IEEE;use IEEE.std_logic_1164.all;entity shft_reg isport (DIR : in std_logic;CLK : in std_logic;CLR : in std_logic;SET : in std_logic;CE : in std_logic;LOAD : in std_logic;SI : in std_logic;DATA : in std_logic_vector(3 downto 0);data_out : out std_logic_vector(3 downto 0) );end shft_reg;architecture shft_reg_arch of shft_reg issignal TEMP_data_out : std_logic_vector(3 downto 0);beginprocess(CLK)beginif rising_edge(CLK) thenif CE = '1' thenif CLR = '1' thenTEMP_data_out <= "0000";elsif SET = '1' thenTEMP_data_out <= "1111";elsif LOAD = '1' thenTEMP_data_out <= DATA;elseif DIR = '1' thenTEMP_data_out <= SI & TEMP_data_out(3 downto 1);elseTEMP_data_out <= TEMP_data_out(2 downto 0) & SI;end if;end if;end if;end if;end process;data_out <= TEMP_data_out;end architecture;3.二选一数据选择器电路模块及程序:entity mux isport(do,d1:in bit;sel:in bit;q:out bit);end mux;architecture a of mux isbeginq<=(do and sel)or(not sel and d1);end a;4.加法计数器的电路模块及程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY add4 ISPORT(a1,a2,a3,a4:IN STD_LOGIC;b1,b2,b3,b4:IN STD_LOGIC;sum1,sum2,sum3,sum4:OUT STD_LOGIC;cout4:OUT STD_LOGIC);END add4;ARCHITECTURE add_arc OF add4 ISSIGNAL cout1,cout2,cout3:STD_LOGIC;COMPONENT halfaddPORT(a,b:IN STD_LOGIC;sum,hcarry:OUT STD_LOGIC);END COMPONENT;COMPONENT fulladdPORT(in1,in2,cin:STD_LOGIC;fsum,fcarry:OUT STD_LOGIC);END COMPONENT;BEGINu1:halfadd PORT MAP(a=>a1,b=>b1,sum=>sum1,hcarry=>cout1);u2:fulladd PORT MAP(in1=>a2,in2=>b2,cin=>cout1,fsum=>sum2,fcarry=>cout2);u3:fulladd PORT MAP(in1=>a3,in2=>b3,cin=>cout2,fsum=>sum3,fcarry=>cout3);u4:fulladd PORT MAP(in1=>a4,in2=>b4,cin=>cout3,fsum=>sum4,fcarry=>cout4);END add_arc;5.七段译码电路及程序:library ieee;use ieee.std_logic_1164.all;entity deled isport(datain:in std_logic_vector(3 downto 0);qout:out std_logic_vector(6 downto 0));end deled;architecture func of deled isbeginprocess(datain)beginif datain= "0000" then qout<="1111110";elsif datain= "0001" then qout<="0110000";elsif datain= "0010" then qout<="1101101";elsif datain= "0011" then qout<="1111001";elsif datain= "0100" then qout<="0110011";elsif datain= "0101" then qout<="1011011";elsif datain= "0110" then qout<="1011111";elsif datain= "0111" then qout<="1110000";elsif datain= "1000" then qout<="1111111";elsif datain= "1001" then qout<="1111011";else null;end if;end process;end func;四、系统仿真1.D触发器电路模块仿真波形:2.移位寄存器模块电路仿真波形:3.二选一数据选择器电路模块仿真波形:4.加法计数器的电路模块仿真波形:5.七段译码电路仿真波形:五﹑硬件验证说明这次设计采用的硬件电路有芯片EP1K10TC100-3,实验板上标准时钟电路、LED 显示等, 六、总结七、参考书目[1]《PLD与数字系统设计》李辉西安电子科技大学出版社 2005[2]《EDA技术及可编程逻辑器件应用实训》沈明山北京科学出版社 2004[3]《VHDL数字系统设计与高层次综合》林敏方颖立著北京: 电子工业出版社2002[4]《VHDL程序设计》曾繁泰陈美金著北京: 清华大学出版社 2001[5]《EDA技术实验与课程设计》曹昕燕周风臣清华大学出版社 2005[6]《PLD器件与EDA技术》李冬梅北京广播学院出版社2000。

EDA课程设计课题

EDA课程设计课题

EDA课程设计课题课题1:脉冲宽度测量仪1.任务采用EDA技术,设计一个能测量脉冲信号宽度的系统。

系统图如下:2.要求(1)脉冲信号宽度的测量精度为±1ms。

(2)脉冲信号宽度的测量范围为0~10s。

(3)调试过程中可以用按键模拟脉冲信号。

(4)测量值用5位数码管显示(可以采用静态显示)。

(5)输入信号为标准TTL电平。

(6)调试中既可以采用正脉冲,也可以采用负脉冲(任选其一)。

(7)必须先进行前仿真,并打印出仿真波形。

(8)按要求写好设计报告(设计报告内容包括:引言,方案设计与论证,总体设计,各模块设计,调试与数据分析,总结)。

3.评分标准实际完成:50分。

设计报告:30分。

出勤:20分。

课题2:抢答器1.任务采用EDA技术,设计一个4人抢答器。

系统图如下:2.要求(1)1个主持键、4个抢答键。

(2)抢答的键号用一个数码管显示(可以采用静态显示)。

(3)抢答的时间用两位数码管显示(可以采用静态显示),精确到0.1s。

(4)主持键按下,4个抢答键才有效,时间从0.0s开始计时。

(5)当时间到8.8s还没人按抢答键,抢答停止,抢答键无效;当主持键再次按下才有效。

(6)在规定时间内抢答键按下时,显示先按下的键号,时间停止,抢答键无效;当主持键再次按下才有效。

(7)必须先进行前仿真,并打印出仿真波形。

(8)按要求写好设计报告(设计报告内容包括:引言,方案设计与论证,总体设计,各模块设计,调试与数据分析,总结)。

3.评分标准实际完成:50分。

设计报告:30分。

出勤:20分。

课题3数字频率计1.任务及要求1)设计一个能测量方波信号的频率的频率计。

2)测量的频率范围是0 999999Hz。

3)结果用十进制数显示。

4)按要求写好设计报告(设计报告内容包括:引言,方案设计与论证,总体设计,各模块设计,调试与数据分析,总结)。

2、教学提示:1)脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式为,f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。

《EDA技术》课程设计题目

《EDA技术》课程设计题目

《EDA技术》课程设计题目1 数字钟设计 (1)2 数字式竞赛抢答器设计 (1)3 数字频率计设计 (1)4 拔河游戏机设计 (2)5 洗衣机控制器设计 (3)6 电子密码锁设计 (3)7 脉冲按键电话按键显示器设计 (4)8 乘法器设计 (4)9 简易音乐播放器设计 (5)10 交通灯控制器设计 (5)11 出租车自动计费器设计 (6)12 自动售邮票机设计 (6)13 信号发生器系统设计 (7)14 点阵设计 (7)15 汽车尾灯控制器设计 (7)16 乒乓球比赛游戏机设计 (8)17 电梯控制器设计 (8)18 简单微处理器设计 (9)19 电子脉搏计设计 (9)20 数字电压表设计 (9)21 彩灯控制器设计 (9)22 病房呼叫系统设计 (10)23 二进制频移键控(FSK)调制器与解调器设计 (10)24 二进制相位键控(PSK)调制器与解调器设计 (10)12电信1班《EDA技术》课程设计选题名单1 数字钟设计设计要求在Quartus Ⅱ开发系统中用可编程逻辑器件实现数字钟的EDA设计,要求:(1)数字钟功能:数字钟的时间以24小时一个周期;数字钟能够显示时、分、秒;(2)校时功能:可以分别对时、分、秒进行单独校时,使其调整到标准时间;(3)扩展功能:具有整点报时功能,当时间到达整点前5秒进行蜂鸣报时。

2 数字式竞赛抢答器设计设计要求设计一个可容纳四组参赛的数字式抢答器,每组设一个按钮供抢答使用。

抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用;设置一个主持人“复位”按钮,主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,用指示灯显示抢答组别,扬声器发出音响。

设置犯规电路,对提前抢答和超时答题(例如3分钟)的组别鸣笛示警,并由组别显示电路显示出犯规组别。

设置一个计分电路,每组开始预置10分,由主持人计分,答对一次加1分,答错一次减1分。

系统框图如图2-1所示。

eda课程设计参考题目

eda课程设计参考题目

EDA课程设计参考题目一、LED点阵汉字显示要求:1、及格:在实验箱上16*16点阵模块上显示汉字“学”;2、中:设置不同的清屏方式(上下左右);3、良:滚动显示汉字“学”;4、优:滚动显示“石家庄经济学院”。

二、键盘扫描显示要求:1、及格:识别4*4键盘,并将结果显示在数码管上;2、中:设计防抖电路;3、良:按一定方向移动显示,即新的按键值显示在最右端的数码管上,原有显示依次左移;4、优:可以进行简单的加减运算。

三、电子密码锁要求:1、及格:设计一个四位密码锁的控制电路,当输入正确代码时,输出开锁信号,用红灯亮、绿灯灭表示关锁,用绿灯亮、红灯可以进行灭表示开锁;2、中:输入密码的同时显示输入的值;3、良:可设定密码;4、优:从第一个按钮触动后的10秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。

四、数字频率计要求:1、及格:设计一个能测量方波信号的频率的频率计,测量范围1~999999Hz,结果用四位十进制数显示;2、中:具有超量程显示和量程切换功能;3、良:具有高位无效零自动消隐功能;4、优:具有测量周期的功能。

五、交通信号灯控制器要求:1、及格:设计一个交通信号灯控制器,在十字路口每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。

每次放行30秒;2、中:绿灯亮25秒后闪烁3秒,黄灯亮2秒,然后转红灯,红灯亮30秒后转绿灯,依次循环;3、良:30秒倒计时显示;4、优:可设定通行时间。

六、数字式竞赛抢答器要求:1、及格:设计一个6组参赛的数字式抢答器,每组设一个按钮,供抢答使用;抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用;设计一个主持人“复位”按钮;主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,同时扬声器发声;2、中:具有30秒倒计时功能功能,30秒结束无人抢答则报警;3、良:具有犯规设置电路对提前抢答和超时抢答者,则报警并显示组别;4、优:设置一个计分电路,每组开始设置100分,由主持人计分,答对一次加10分,答错一次减10分。

EDA游戏机

EDA游戏机

燕山大学EDA课程设计报告书题目:游戏机姓名:尹展班级: 09通信工程一班学号: 0901********成绩:(注:此文件应以同学学号为文件名)一、设计题目及要求题目:游戏机要求:用三位数码管显示0—7之间的数码,按下按钮,三个数码管循环显示,抬起按钮,显示停止,当显示内容相同时,为赢附加要求:1.三个数码管循环显示的速度不同;2.停止时的延迟时间也要不同;3.如果赢了游戏时,要有数码管或LED的花样显示或声音提示。

二、设计过程及内容1、设计思路:根据题目的要求,将设计分为六个模块:分频模块,延时模块,计数模块,扫描模块,显示模块和比较模块。

开关控制高低电平,高电平表示开始,低电平表示结束。

分频模块将试验箱提供的频率变为自己需要的频率,延时模块将时间延迟输出,计数模块将构成8进制计数器,使输出可以在0~7循环,扫描模块将三个数码管的输入信号选择输出,显示模块将二进制变成数码管需要的输入信号,比较模块比较三个数据是否相同。

2总电路:总电路图波形图:3、各个模块①分频模块:分频第一部分:fenpin1,利用两片74161将输入信号的频率分为1/32、1/64、1/128、1/256的频率信号。

分频第二部分:fenpin2,利用一片74161将输入信号分频的频率分为1/2、1/4、1/8、1/16的频率信号。

②、延时模块:延时模块:yanshi,利用一片74161组成10进制的计数器,从而将输入信号延时十倍的时钟信号的频率,达到延时的作用。

③、计数模块:计数模块:counter8,利用一片74161组成8进制的计数器,使其从0~7循环计数。

波形图:④、扫描模块:扫描模块:由于三个数码管共用一个输入端,所以用74153数据选择器选出三个输入信号中的一个,用74161组成的定位系统(dingwei012)选择控制地址输入S0、S1、S2。

波形图:定位模块:dingwei012,用一片74161组成3进制计数器,控制数码管地址输入端。

2012 09级EDA课程设计题目

2012 09级EDA课程设计题目

1. 数控分频器的设计输入不同的CLK 频率和预置值D ,扬声器发出分频后的不同频率的声音2. 简易存储示波器设计要求:采用高速ADC 设计一个存储示波器,其中,一个DAC 作波形数据输出,另一个DAC 输出锯齿波,然后用普通示波器观察波形。

3. 8位移位相加硬件乘法器设计4. 16阶数字滤波器的设计5. 基于DSP Builder 的FIR 数字滤波器设计设计一个5阶常数系数FIR 滤波器。

已知其系统函数为:))5()5()4()4()3()3()2()2()1()1()()0(()(-+-+-+-+-+=n x h n x h n x h n x h n x h n x h C n h q 其中:04.025)5(,93)4(,212)3(,212)2(,93)1(,25)0(=======q C h h h h h h6. 基于DSP Builder 的IIR 数字滤波器设计已知一个4阶IIR 滤波器系统函数如下:432143215.05.0115.01.03.015.02.0)(---------+-+++++=z z z z z z z zz H试用DSP Builder 实现该IIR 滤波器。

7. 基于FPGA 的十字路口交通灯控制器设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。

红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号。

主干道处于常允许通行的状态,支干道有车来时才允许通行。

主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。

主、支干道均有车时,两者交替允许通行,主干道每次放行60秒,支干道每次放行30秒,设立60秒、30秒计时、显示电路。

在每次由绿灯亮到红灯亮的转换过程中,要亮3秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立3秒计时、显示电路。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

1. 数控分频器的设计
输入不同的CLK 频率和预置值D ,扬声器发出分频后的不同频率的声音
2. 简易存储示波器设计
要求:采用高速ADC 设计一个存储示波器,其中,一个DAC 作波形数据输出,另一个DAC 输出锯齿波,然后用普通示波器观察波形。

3. 8位移位相加硬件乘法器设计
4. 16阶数字滤波器的设计
5. 基于DSP Builder 的FIR 数字滤波器设计
设计一个5阶常数系数FIR 滤波器。

已知其系统函数为:
))
5()5()4()4()
3()3()2()2()1()1()()0(()(-+-+-+-+-+=n x h n x h n x h n x h n x h n x h C n h q 其中:04.025
)5(,93)4(,212)3(,212)2(,93)1(,25)0(=======q C h h h h h h
6. 基于DSP Builder 的IIR 数字滤波器设计
已知一个4阶IIR 滤波器系统函数如下:
432143215.05.0115.01.03.015.02.0)(---------+-+++++=z z z z z z z z
z H
试用DSP Builder 实现该IIR 滤波器。

7. 基于FPGA 的十字路口交通灯控制器
设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。

红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号。

主干道处于常允许通行的状态,支干道有车来时才允许通行。

主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。

主、支干道均有车时,两者交替允许通行,主干道每次放行60秒,支干道每次放行30秒,设立60秒、30秒计时、显示电路。

在每次由绿灯亮到红灯亮的转换过程中,要亮3秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立3秒计时、显示电路。

8. 通用十进制加法器(动态扫描显示)
设计一个双4 位的BCD 码全加器,其框图如图1所示。

图中的 “进位出”C 即是本
位的进位输出。

全加器的16个输入所对应的管脚同16位拨码开关相连,16个输入管脚是(被加数)A1(3..0)、(被加数)B1(3..0)和(被加数)A2(3..0)、(被加数)B2(3..0)。

相加的十进制结果经译码电路译为7段显示输出,和与进位的值。

要求显示器动态显示两位十进制相加的结果。

9、通用十进制减法器(动态扫描显示)
设计一个双4 位的BCD码全减器,其框图如图2所示。

图中的“借位出”C即是本位的借位输出。

全减器的16个输入所对应的管脚同16位拨码开关相连,16个输入管脚是(被减数)A1(3..0)、(被减数)B1(3..0)和(减数)A2(3..0)、(减数)B2(3..0)。

相减的十进制差经译码电路译为7段显示输出,差与借位的值。

要求显示器动态显示两位十进制相减的结果。

10、六人抢答器
抢答台数为6;具有抢答开始后20秒倒计时,20秒倒计时后六人抢答显示超时,并报警;能显示超前抢答台号并显示犯规报警;系统复位后进入抢答状态,当有一路抢答按键按下,该路抢答信号将其余各路抢答信号封锁,同时铃声响起,直至该路按键松开,显示牌显示该路抢答台号。

11、出租车计费器
实现计费功能,计费标准为武汉起步3元,车行3公里后为1.4元/公里,当计费达到20元后,每公里加收50%的车费,车停止每3分钟增加0.7元。

车费显示出来,有一位小数。

12、频率计设计
频率计设计的主要参考程序如下,实际应用还要配合模块设计。

实验要求:
输入频率信号FSIN,范围1Hz~1MHz,波形可以是正弦波、三角波、方波和其他任何有固定频率的信号,信号的幅值0.5V~5V。

13、乐曲硬件演奏电路设计
能够播放音乐,通过按键控制音乐的播放暂停。

14、电子时钟设计
要求:计时为24小时制,能用数码管显示时、分、秒,具有预置时间和暂停功能。

15、彩灯控制器
(1)彩灯控制器能使8个彩灯(LED管)连续发出六种以上不同的显示形式;
(2)随着彩灯显示图案的变化,发出不同的音响声。

16、简易电子琴
1、设计一个简易电子琴;
2、利用实验箱的脉冲源产生1,2,3,。

共7个或14个音阶信号;
3、用指示灯显示节拍;
4、*能产生颤音效果。

17、数字温度计的设计
要求:测量范围0~100℃,精度大于0.5℃,用数码管显示测量结果。

18、调幅信号发生器设计
要求:能将FPGA内部产生的低频信号进行调制,也能选择外部输入的幅度小于4V的低频模拟信号进行调制,输出信号的载波频率为100KHz。

输出波形峰-峰值大于2V。

19、调频信号发生器设计
要求:能将FPGA内部产生的低频信号进行调制,也能选择外部输入的幅度小于4V的低频模拟信号进行调制,输出信号的载波频率为100KHz。

输出波形峰-峰值大于2V。

20、数控移相信号发生器的设计
要求:能通过按键进行移相控制,每按一次键增加或减少相位差1~2度,输出信号的频率为50KHz。

输出波形峰-峰值大于2V。

21、正交信号发生器的设计
要求:能通过按键进行幅度增减控制,输出信号的频率可在10Hz~50KHz之间扫频输出,也可以停在某一频率上保持不变。

输出波形最大峰-峰值大于3V,且不失真。

22、简易出租车计费器设计
要求:起步价为1.5公里4元,大于1.5公里时,每增加0.5公里加收1元,停车不计费,最大计费距离为50公里。

用数码管显示里程和收费金额。

23、拔河游戏机
(1)设计一个能进行拔河游戏的电路。

(2)电路使用7个发光二极管,开机后只有中间一个发亮,此即拔河的中
心点。

(3)游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。

(4)亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。

(5)用数码管显示获胜者的盘数。

24 电子密码锁的设计
(1)用电子器件设计制作一个密码锁,使之在输入正确的代码时开锁
(2)在锁的控制电路中设一个可以修改的四位代码。

当输入的代码和控制电路的代码一致是锁打开
(3)用灯的表示开锁关锁
25 脉冲按键电话显示器
1、设计一个具有8位显示的电话按键显示器;
2、能准确地反映按键数字;
3、显示器显示从低位向高位前移,逐位显示按键数字,最低位为当前输入位;
4、*设置一个“重拨”键,按下此键,能显示最后一次输入的电话号码;
5、*挂机2秒后或按熄灭按键,熄灭显示器显示。

26 五人多数表决器
1、五人多数表决逻辑:多数通过;
2、在主持人控制下,10秒内表决有效;
3、采用数码管显示表决10秒倒计时;
4、表决结束后用发光二极管及数码管显示表决结果,数码管显示结果形式:通过,不通过;
5、设主持人控制键,复位键:
控制键:启动表决;
复位键:系统复。

相关文档
最新文档