实验十触发器与计数译码显示
数电实验报告
数电实验报告实验一实验题目:十进制代码——8421码转换电路实验目的:(1)掌握组合逻辑电路设计方法(2)掌握码制转换逻辑的设计特点(3)掌握TTL芯片的应用和调试实验原理:实验内容:将TTL设计成十进制转换成8421BCD码。
实验总结与收获:对TTL有了一定的了解,掌握码制转换逻辑的设计特点,组合逻辑电路设计方法也有些了解,对以后的实验有心理准备。
实验二实验题目: 译码器及数码显示实验目的:(1) 掌握组合逻辑电路设计的方法.(2) 对比用不同的器件,不同设计方法之间的比较. (3) 掌握数码关与译码器的电位关系.实验原理:1.数码管是一种子常用器件,当你显示十进制数时,是有很多电路供你选用,一般根据所使用的数码管是共阳极还是共阴极来选择对应芯片的.七段发光二极管(LED)数码显示器的字形与七段荧光数码管一样,外观为平面型.它的a,b,c,d,e,f,g 段是用发光二极管显示的,并且分为共阳极和共阴极两种.共阳极是七个发光二极管的阳极接在一起,接到高电平(正电源)上,阴极接到译码器的输出端,哪个发光二极管的阴极为低电平,哪个发光二极管就亮,而阴极为高电平的发光二极管就不亮.共阴极是七个发光二极管的阴极接到一起,,接到低电平处,哪个发光二极管的阳极接高电平,哪个发光二极管就亮,否则就不亮.这种数码特点是电源电压为5V,与TTL 电源一致共阳弄数码管内部结构.2.LED 数码管的内部显示如图所示:3.BI 是消隐输入端,当输出功能为0—15V 时,BI 必须开路或接高电平,BI 处于低电平时,所有各段输出均被切断且与其它输入端的电平无关.RBI 串行消隐输入端,能消除无意义的0显示,RBO 串行消隐输出端与RBI:LT 组合控制可消除其它无意义的输出.LT 为灯测注:输出端中Y表示导通,N表示截止.实验内容:(1)要求设计16进制译码器的逻辑图.(2)根据逻辑图边好译码器,并将电阻,数码管连上.(3)通电调试,直到0—F都能正确显示为止.实验设计:1.根据实验需求分析,可得出下面的逻辑关系式:CBADACBADDBCDBACBADDCBADABCBCDADBACABDCDCBADCBAa+++=++++++++=DABCBABADCCDBADCBADCBADABCDCBADCABDCBADCBADCBAb+++=++++++++=CBACDCACABDCBADDCBADABCDABCDBACDCBADCABDCBADCBAc++=+++++++++ =DB AC B ABCACABDCBCDABACDCABDC ABDC BADDC B ADABCDBACDCABDB ACDC B Ad++++=++++++++++=CABABCDADCBADCBADBCADCBADCBAe+=+++++=DBDCBABCDACDBACDBACBADDCBABCDAACDBCDBADCBAf++=++++++++ =C BB ADCC BBCDACDB ACDB ADCABDC B ADC B ADC B ADBCAACDBDC B ADCABDC B Ag+++=+++++++++++=其中:0=a+b+c+d+e+f 1=b+c 2=a+b+d+e+g. 3=a+b+c+d+g.4=b+c+g+f. 5=a+c+d+f+g. 6=a+c+d+e+f+g. 7=a+b+c.8=a+b+c+d+e+f+g. 9=a+b+c+d+f+g A=a+b+c+e+f+g.B(b)=c+d+e+f+g. C=a+e+f. d(D)=b+c+d+e+g.E=a+d+e+f+g F =a+e+f+g.2.用编程语言进行编程:PLD16V8 //器件名称BASIC GATES //逻辑功能WANGTAO 2009.4.13 //姓名,时间SHIYAN LATTICE V4.6 //用途,公司,版本D C B A NC NC NC NC NC GND //定义输入脚NC a b c d e f g NC VCC //定义输出脚;LOGIC EQUATIONS //注释a=B*/D+A*/B*D+A*C*/D+/A*/B*/Cb=/C*/D+/A*/B+A*/B*/C+A*B*/Dc=A*/C+C*/D+/A*/B*/Cd=/C*D+A*B*/C+/A*B*C+A*/B*C+/A*/B*/De=/A*B+/A*/Cf=/A*/B+C*/D+/B*Dg=B*/C+/C*D+/A*B+/B*CDESCRIPTION //程序结束3.调试验证:实验中用fm.exe对程序wt.pld进行编译.生成wt.jed文件。
实验8_计数译码显示电路
实验8_计数译码显示电路
计数译码显示电路是一种用于显示计算机数字信息的电路。
它使用一组多位译码器,
将二进制数字转换为十进制,然后显示出来,为人们提供了数字信息的直观化。
计数译码显示电路主要由数据锁存器、译码器组成,它们是电路中的关键元件。
数据
锁存器的作用是将计算机的数字信号锁定,避免数字信号在译码过程中的变化。
而译码器
组则负责由二进制到十进制的转换,一般采用反激型译码器,因其结构简单,抗干扰能力强,稳定可靠,现在广泛使用于计算机领域。
计数译码显示电路主要由若干常用元件组成,如7段数码管、电阻、电容、电源等显
示模块,它可以实现不同的显示功能,如联机可显示多种状态,目前计数译码显示电路广
泛应用于各种电子产品,如手机、电子秤、家用空调、摄像机等。
计数译码显示电路的研究于1958年由英国计算机专家罗伯特·泰森发表,其最大的
创新之处在于它可以让两个不同的逻辑电路和显示电路三者分离,得以实现显示数字信息,当时也是诸多技术领域的里程碑,深受理论研究者和工程实践者的赞誉。
计数译码显示电路具有显示可靠、稳定性强等优点,是微电子系统中常用的一种显示
仪表。
它弥补了旧式显示设备,相当于把显示器技术发挥到极致,在键盘设计上,多个计
数译码显示电路能够降低摩擦损耗,使键盘使用寿命增加,使用范围更加广泛。
译码显示电路实验报告
一、实验目的1. 熟悉译码显示电路的基本原理和组成;2. 掌握译码器和显示器的功能及使用方法;3. 通过实验,验证译码显示电路的工作性能;4. 培养动手实践能力和团队协作精神。
二、实验原理译码显示电路是一种将数字信号转换为可直观显示的图形或字符的电路。
它主要由译码器和显示器两部分组成。
译码器将输入的数字信号转换为对应的控制信号,显示器则根据这些控制信号显示相应的图形或字符。
1. 译码器:译码器是一种多输入、多输出的组合逻辑电路,其作用是将输入的二进制代码转换为输出的一组控制信号。
常见的译码器有二进制译码器、十进制译码器等。
2. 显示器:显示器用于显示译码器输出的控制信号。
常见的显示器有七段显示器、液晶显示器等。
本实验采用七段显示器,它由七个独立的段组成,通过控制每个段的亮与灭,可以显示0-9的数字以及其他符号。
三、实验仪器与器材1. 实验箱;2. 译码器(例如:74LS47);3. 显示器(例如:七段显示器);4. 连接线;5. 示波器(可选);6. 电源。
四、实验步骤1. 熟悉实验箱和实验器材,了解译码器和显示器的功能及使用方法。
2. 按照实验原理图连接译码器和显示器,确保连接正确无误。
3. 在译码器输入端输入二进制代码,观察显示器是否按照预期显示相应的数字或符号。
4. 调整译码器的输入代码,验证译码器的工作性能。
5. (可选)使用示波器观察译码器和显示器的信号波形,进一步分析电路工作原理。
6. 记录实验数据,撰写实验报告。
五、实验结果与分析1. 当译码器输入端输入二进制代码时,显示器按照预期显示相应的数字或符号。
2. 调整译码器的输入代码,显示器能够正确显示相应的数字或符号。
3. 通过实验,验证了译码显示电路的基本原理和组成,掌握了译码器和显示器的功能及使用方法。
4. 在实验过程中,注意观察译码器和显示器的信号波形,有助于理解电路工作原理。
六、实验总结1. 本实验成功实现了译码显示电路的基本功能,验证了译码器和显示器的工作性能。
十进制计数器实验报告
when 4=>seg7<="1100110";
when 5=>seg7<="1101101";
when 6=>seg7<="1111101";
when 7=>seg7<="0000111";
when 8=>seg7<="1111111";
when 9=>seg7<="1100111";
2,建完工程后,再建一个VHDL FILE,打开VHDL编辑器对话框.
3,按照实验原理和自己的想法,在VHDL编辑窗口编写Verilog程序.
4,编写完Verilog程序后,保存起来.注意顶体名跟程序实体名要一致.
5,对自己编写的Verilog程序进行编译并仿真,对程序的错误进行修改.
6,编译仿真无误后,进行管脚分配.分配完成后,再进行全编译一次,以使管脚分配生效.
signal seg7:std_logic_vector(6 downto 0);
signal countnum: integer range 0 to 9;
signal clk_1k: std_logic;
begin
r<="011111";
process(clk)
variable cnt1:integer range 0 to 5000;
end if;
else
cnt1:=cnt1+1;
end if;
end if;
end process;
计数译码显示电路实验报告
计数译码显示电路实验报告实验目的:掌握编码与解码的基本原理和技术。
设计与实现一个计数译码显示电路。
提高电子电路设计与实验能力。
实验原理:计数译码显示电路是利用数字集成电路实现的一种数字计数显示方法。
它通过计数器将输入的时钟信号转化为二进制数码输出,然后通过译码器将二进制数码转为七段数码管的控制信号,从而使得七段数码管实现相应的数字显示。
实验器材:1.CD4017计数器芯片2.CD4511译码器芯片3.七段共阳数码管4.电阻、电容、电源、开关等实验步骤:1. 将CD4017计数器芯片的1脚连接到电源Vcc,16脚连接到地GND。
2.连接计数器的时钟输入脚13和复位输入脚15到电路中适当位置,并设置相应的电源和开关。
3. 将译码器CD4511的Vcc脚和GND脚连接到电源和地,将A、B、C、D四个输入脚连接到计数器的Q0-Q3输出脚。
4.将译码器的a、b、c、d、e、f、g七个输出脚连接到七段数码管的a、b、c、d、e、f、g控制脚。
5. 连接七段数码管的共阳脚到电源Vcc。
实验结果:通过调整计数器CD4017的时钟频率、复位电平和输入信号,我们可以观察到七段数码管显示出不同的数字,从0到9循环显示。
实验分析:计数译码显示电路利用计数器进行计数和译码器进行解码,通过将二进制数码转换为七段数码管的控制信号,实现了数字的显示。
实验中需要注意选择适当的电阻、电容等元器件,以确保电路的稳定工作。
另外,对于七段数码管的显示,还可以通过连接额外的译码器和复用技术进行更复杂的显示设计。
实验总结:通过本实验,我们掌握了计数译码显示电路的基本原理与设计方法,提高了对数字集成电路的理解和应用能力。
实验结果令人满意,并加深了对数字电路的认识。
在今后的学习和实践中,我们将继续加强对电子电路设计与实验的掌握,提高自己的技术水平。
电子电工实验室简介
西安建筑科技大学华清学院
电子实验室简介
电子实验室主要针对《电工学下》、《模拟电子技术基础》《数字电子技术基础》等理论课程开设的基础实验,实验室建设于2012年7月,设施完善、功能齐全、现有教师8名。
实验室配置了常规的实验设备52套,每次可同时100多名学生两人一组的实验,每学期承担全校1000多人次本科生的实验任务。
实验室现有实验设备为浙江天煌科技实业有限公司的DZX-2A型电工技术实验装置,普源精电(RIGOL)公司的DS1072E-EDU型数字示波器,胜利仪器VC9801A万用表,TH-SG01P型功率函数信号发生器,基础实验所需电路板等。
电子实验室现可完成的电子基础实验:
实验一直流稳压电源;
实验二低频单级电压放大器
实验三射极输出器
实验四负反馈放大器
实验五差动放大器
实验六集成运算放大器
实验七集成运放的应用
实验八基本逻辑门逻辑实验
实验九三态门实验
实验十触发器、计数器、译码显示电路实验十一555定时器
实验十二A/D,D/A转换器。
山东交通学院译码器和数据选择器实验报告
实验十译码器和数据选择器一、实验目的1、熟悉集成译码器。
2、了解集成译码器应用。
二、实验原理译码器是将给定代码译成相应状态的电路。
双2-4线集成变量译码器74LS139如图10-1所示。
每个2-4线译码器有两个输入端(A、B)和四个输出端(Y0、Y1、Y2、Y3)。
两个输入端可以输入四种数码,即00、01、10、11,对应的四种输出状态是0111、1011、1101、1110。
G为使能端,当G=0时,译码器能正常工作,当G=1时,不能工作,输出端全部为高电平(即“1”)。
数据选择器有多个输入,一个输出。
其功能类似单刀多掷开关,故又称多路开关(MUX)。
在控制端的作用下可从多路并行数据中选择一路送输出端。
双4选1数据选择器74LS153如图10-2所示。
以其中的一个数据选择器为例,C0、C1、C2、C3为输入端,可同时输入四种不同的数据(信号),Y为被选中的数据的输出端,G为使能端(低电平时工作),A、B为选择控制端。
设四个输入端的输入信号分别为C0、C1、C2、C3则其功能如表10-1所示。
三、实验仪器及材料1、双踪示波器2、器件74LS139 双2—4线译码器 1片74LS153 双4选1数据器 1片74LS00 二输入端四与非门 1片四、实验预习要求1、复习有关译码器和数据选择器的原理。
2、根据实验任务,画出所需的实验线路及记录表格。
五、实验内容及步骤1、译码器功能测试将74LS139译码器按图10-1,接线,按表10-2输入电平分别置位,填写输出状态表图10-1 74LS139译码器表10-22、译码器转换将双2—4线译码器转换为3—8线译码器。
(1)画出转换电路图。
(2)在实验仪上接线并验证设计是否正确。
(3)设计并填写该3—8线译码器功能表,画出输入、输出波形。
3、数据选择器的测试及应用将双4选1数据选择器74LS153参照图10-2接线,测试其功能并填写功能表。
(1)将选择端1(G)、2(B)、14(A)脚接逻辑电平开关;(2)将实验仪脉冲信号源中固定连续脉冲4个不同频率的信号接到数据选择器4个输入端:3(250KHz)、4(100KHz)、5(10KHz)、6(1KHz);将选择端置位,使输出端7(1Y)接示波器,可分别观察到4种不同频率脉冲信号。
大学数电实验计数译码显示电路
计数、译码、 计数、译码、显示电路
詹洪陈
实验目的
掌握二-十进制(BCD)码异步计数器的工作 原理及设计方法。 74LS90为2-5计数器。带有置6、置9端。可 实现多种进制的计数器。 熟悉二-十进制译码和显示电路的功能及应用。 掌握8-4-2-1码二-十进制计数器、译码器及显 示电路的测试方法。
(2)构成5421BCD加法计数器
接法:计数脉冲CP接CP1,Q3接CP0。 注意:当Q3有下跳沿时,Q0的状态才会 改 变。7490作5421计数器时,位权5,4,2,1 依次分布在输出端Q0、Q3、Q2、Q1。 当7490作5421计数器时,从Q0端输出的波 形其频率是CP的十分频,且是对称方波。
74LS90功能表
输入
CP0 CP1 R01 R02 R91 R92 Q3
输出
Q2 Q1 Q0
功能
X X
X X
1 0 0 0
0 1 0 0
0 1
0 0
ቤተ መጻሕፍቲ ባይዱ
0 0
0 1
异步置0 异步置9 8421BCD 5421BCD
CP Q0 Q3 CP
0000-1001 Q0Q3Q2Q1 0000-1100
74LS90芯片引脚图
8421十进制
计数脉冲CP接CP0,Q0接CP1
二、8421BCD码六进制计数器
(1)分别用置0法、置9法将74LS90接成 8421 BCD 码六进制计数器,画出电路原 理图。 (2)输入脉冲fcp=1Hz,观察数码管显示 规律。
实验十 译码器和数码显示器实验
实验十译码器和数码显示器实验一实验目的1.掌握 74LS138 型 3 线-8线译码器的逻辑功能;2.掌握 74LS248 型 7 段 BCD 译码器的逻辑功能。
二实验设备和器件1.TD-DS实验箱 1 台2.74LS138 3线-8线译码器 1 片3.74LS248 BCD-7 段译码器/驱动器 1 片三实验内容相关器件:✧在74LS系列里面选择74LS00、三输入74LS10、四输入74LS20、74LS138、74LS248✧输入开关:搜索LOGICSTATE、开关()✧LED指示灯(红LED-RED、绿LED-GREEN、蓝LED_BLUE均可)✧VCC和接地端,数码管7SEG-DIGITAL✧图中A、B、C等文本的添加,选择,在需要的地方放置,填入内容。
1.74LS138 型 3 线-8线译码器逻辑功能验证按图1所示方法接线,输入端接逻辑开关,输出端接逻辑电平显示,根据逻辑功能表输入,将测试结果填表。
图 1 3线-8译码器线路图表1 74LS138功能表下图为Protues 环境下的仿真电路图。
(开关可选用LOGICSTATE )实验截图:2.BCD-7段译码器功能验证实验中采用 74LS248 七段译码驱动共阴极数码管。
如图2 所示接线,将 A 、B 、C 、D 接逻辑开关,输出端接七段数码管显示单元中无译码器数码管对应引脚,SEG0 接地。
验证74LS248 功能,记录实验结果。
图2 BCD-7段译码器功能验证线路图实验截图:3.用3/8线译码器74LS138和两个与非门实现全加器。
由全加器的表达式为:根据题目要求,Si 和Ci 可以转换为:Si=___7m *4m *m2*1m _____ Ci=_____7m *6m *5m *2m ____________________其实现电路如下:Proteus 下进行仿真,画出仿真图,并把实验结果填入下表。
三输入与非门为74LS10、四输入与非门为74LS201111111-------+++=+++=i i i i i i i i i i i i i i i i i i i i i i i i i i C B A C B A C A C B A C C B A C B A B C B A S4.某组合逻辑电路的真值表如表所示,试用译码器和门电路设计该逻辑电路。
十进制加法计数器课程设计
实验十九 计数、译码、显示电路一、实验目的1、掌握中规模集成计数器74LS90的逻辑功能。
2、学习使用74LS48、BCD译码器和共阴极七段显示器。
3、熟悉用示波器测试计数器输出波形的方法。
二、 实验原理计数、译码、显示电路是由计数器、译码器和显示器三部分电路组成的,下面分别加以介绍。
1、计数器:计数器是一种中规模集成电路,其种类有很多。
如果按各触发器翻转的次序分类,计数器可分为同步计数器和异步计数器两种;如果按照计数数字的增减可分为加法计数器、减法计数器和可逆计数器三种;如果按计数器进位规律可分为二进制计数器、十进制计数器、可编程N进制计数器等多种产品。
常用计数器均有典型产品,不须自己设计,只要合理选用即可。
本实验选用74LS90二—五进制计数器,其功能如下表所示。
6263(1) R 0(1)和R 0(2)为直接复位端,R 9(1)和R 9(2)为直接置位端,可以预置数字“9”(Q D = Q A = 1,Q B = Q C = 0)。
(2) A 为二分频计数器的输入,Q A 的输出频率为CP A 的1/2。
B 为五进制计数器的输入,把Q A 输出作为五进制计数器B 的输入,即构成8421BCD 码十进制计数器。
2、 译码器:这里所说的译码器是将二进制数译成十进制数的器件。
我们选用的74LS48是BCD 码七段译码器兼驱动器。
其外引线排列图和功能表如下所示。
1234567891011121314GNDVCC 74LS48B1615CLTBI/RBORBIDAgabcdef十进制数 或功能输 入LT RBI D C B A 0123H H H H H X X X L L L L L L L H L L H L L L H H BI/RBO H H H H 输 出a b c d e f g H H H H H H L L H H L L L L H H L H H L H H H H H L L H 字 型注4567H H H H X X X X L H L L L H L H L H H L L H H H H H H H L H H L L H H H L H H L H H L L H H H H H H H H L L L L H H H X X X H L L L H L L H H L H L H H H H H H H H H H H H H L L H H L L L H H L H L L H H L L H 891011H X H L H H H H H H X X X H H L L H H L H H H H L H H H L H L L L H H H L L H L H H L L L H H H H L L L L L L L 12131415H X H H H H H 1BI RBI LTX H LX XL X X X X X X X XL L L L L L HL L L L L L L L L L L L L L H H H H H H H2 34(1) 要求输出数字0~15时,“灭灯输入”(BI )必须开路或保持高电平。
计数、译码与显示实验
实验九计数、译码与显示一、实验目的1.进一步掌握中规模集成电路计数器的应用。
2.掌握译码驱动器的工作原理及其应用方法。
二、实验原理和电路在数字系统中,经常需要将数字、文字和符号的二进制编码翻译成人们习惯的形式直观地显示出来,以便查看。
显示器的产品很多,如荧光数码管、半导体、显示器、液晶显示和辉光数码管等。
数显的显示方式一般有三种,一是重叠式显示,二是点阵式显示,三是分段式显示。
重叠式显示:它是将不同的字符电极重叠起来,要显示某字符,只需使相应的电极发亮即可,如荧光数码管就是如此。
点阵式显示:利用一定的规律进行排列、组合,显示不同的数字。
例如火车站里显示列车车次、始发时间的显示就是利用点阵方式显示的。
分段式显示:数码由分布在同一平面上的若干段发光的笔划组成。
如电子手表、数字电子钟的显示就是用分段式显示。
本实验中,我们选用常用的共阴极半导体数码管及其译码驱动器,它们的型号分别为LC5011-11共阴数码管,74LS248 BCD码4-7段译码驱动器。
译码驱动器显示的原理框图如图1.9.1所示。
LC5011-11共阴数码管和74LS248译码驱动器管脚排列如图1.9.2所示。
图1.9.1 译码显示原理图LC5011-11共阴数码管其内部实际上是一个八段发光二极管负极连在一起的电路,如图1.9.3(a)所示。
当在a.b……g、DP段加上正向电压时,发光二极管就亮。
比如显示二进制数0101(即十进制数5),应使显示器的a.f.g.c.d段加上高电平就行了。
同理,共阳极显示应在各段加上低电平,各段就亮了,见图1.9.3(b)。
(a)LC5011-11管脚图(b)74LS248管脚图图1.9.2 显示器和译码驱动器外管脚排列图(a)(b)图1.9.3 半导体数码管显示器内部原理图74LS248是4线-7线译码器/驱动器。
其逻辑功能见表1.9.1。
它的基本输入信号是4位二进制数(也可以是8421 BCD码),D、C、B、A,基本输出信号有七个:a、b、c、d、e、f、g。
数电实验--译码显示电路
译码显示电路二、实验仪器及器件:1、数字电路实验箱、数字万用表、示波器。
2、器件:74LS48X1, 74LS194X1, 74LS73X1, 74LS00X2三、实验预习:1、复习有关译码显示原理。
2、根据实验任务,画出所需的实验线路及记录表格。
四、实验原理:1、数码显示译码器:(1)七段发光二极管(LED)数码管LED数码管是目前最常用的数字显示器,图(一)(a)、(b)为共阴管和共阳管的电路,(C)为两种不同出线形式的引出脚功能图。
一个LED数码管可用来显示一位0--9十进制数和一个小数点。
小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~2.5V,每个发光二极管的点亮电流在5~10mA。
LED数码管要显示BCD 码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。
(2)BCD码七段译码驱动器此类译码器型号有74LS47(共阳),74LS48(共阴),CC4511(共阴)等,本实验系采用'74LS48 BCD码锁存/七段译码/驱动器。
驱动共阴极LED数码管。
图(二)为74LS48引脚排列。
其中A、B、C、D - BCD码输入端,a、b、c、d、e、f、g——译码输出端,输出“1"有效,用来驱动共阴极LED数码管。
- 灯测试输入端,=“0”时,译码输出全为“1”- 灭零输入端,=“0”时,不显示多余的零。
/-作为输入使用时,灭灯输入控制端;作为输出端使用时,灭零输出端。
2、扫描式显示对多位数字显示采用扫描式显示可以节电,这一点在某些场合很重要。
对于某些系统输出的的数据,应用扫描式译码显示,可使电路大为简化。
有些系统,比如计算机,某些A/D 转换器,是以这样的形式输出数据的:由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD码,如图(三)所示。
二-十进制译码器实验报告
竭诚为您提供优质文档/双击可除二-十进制译码器实验报告篇一:实验二译码器及其应用实验二译码器及其应用一、实验目的1、掌握中规模集成译码器的逻辑功能和使用方法2、熟悉数码管的使用二、实验原理译码器可分为通用译码器和显示译码器两大类。
前者又分为变量译码器和代码变换译码器。
1、变量译码器(又称二进制译码器),以3线-8线译码器74Ls138为例。
其中A2、A1、A0为地址输入端,Y0~Y7为译码输出端,s1、s2、s3为使能端。
(a)(b)图6-13-8线译码器74Ls138逻辑图及引脚排列表6-174Ls138功能表二进制译码器还能方便地实现逻辑函数,如图6-3所示,实现的逻辑函数是Z=Abc?Abc?Abc+Abc2、数码显示译码器a、七段发光二极管(LeD)数码管(a)共阴连接(“1”电平驱动)(b)共阳连接(“0”电平驱动)(c)符号及引脚功能图6-5LeD数码管b、bcD码七段译码驱动器此类译码器型号有74Ls47(共阳),74Ls48(共阴),cc4511(共阴)等,本实验系采用cc4511bcD码锁存/七段译码/驱动器。
驱动共阴极LeD数码管。
图6-6为cc4511引脚排列其中图6-6cc4511引脚排列A、b、c、D—bcD码输入端a、b、c、d、e、f、g—译码输出端,输出“1”有效,用来驱动共阴极LeD数码管。
LT—测试输入端,LT=“0”时,译码输出全为“1”消隐输入端,bI=“0”时,译码输出全为“0”bI—Le—锁定端,Le=“1”时译码器处于锁定(保持)状态,译码输出保持在Le=0时的数值,Le=0为正常译码。
表6-2为cc4511功能表。
cc4511内接有上拉电阻,故只需在输出端与数码管笔段之间串入限流电阻即可工作。
译码器还有拒伪码功能,当输入码超过1001时,输出全为“0”,数码管熄灭。
在本数字电路实验装置上已完成了译码器cc4511和数码管bs202之间的连接。
实验时,只要接通+5V电源和将十进制数的bcD码接至译码器的相应输入端A、b、c、D即可显示0~9的数字。
数字电路实验 计数、译码、显示综合实验
数字电路与逻辑设计实验报告实验八计数、译码、显示综合实验姓名:黄文轩学号:17310031班级:光电一班一、实验目的1.熟悉中规模集成电路计数器的功能及应用。
2.熟悉中规模集成电路译码器的功能及应用。
3.熟悉LED数码管及显示电路的工作原理。
4.学会综合测试的方法。
二、实验器件1. 实验箱、万用表、示波器。
2. 74I S160,74LS48, 74LS20三、实验预习使用一个6进制和10进制级联实现60进制计数器,6进制计数器使用同步清零或异步清零得到,同步清零使用状态数字5->1001,异步清零使用状态数字6->1010,得到电路图如下:①同步清零, 接QA、QC至与非门,再接入置数端,置数输入为0000使用Multisim模拟得到波形:其中波形1~4为十进制计数器数据输出,5~8为六进制计数器数据输出,9为时钟信号,10为六进制计数器时钟信号。
②异步清零,接QB、QC至与非门,再接入置数端,置数输入为0000使用Multisim模拟得到波形:波形顺序与上面相同四、实验内容1、实验目的用集成计数器74LS160分别组成8421 码十进制和六进制计数器,然后连接成一个60进制计数器(6 进制为高位、10 进制为低位)。
使用实验箱上的LED译码显示电路显示(注意高低位顺序及最高位的处理)。
用函数发生器的低频连续脉冲(调节频率为1-2HZ)作为计数器的计数脉冲,通过数码管观察计数、译码、显示电路的功能是否正确。
2、设计过程连接10进制计数器时,CET、CEP、R——、P——E——接1,CLK接时钟脉冲。
连接6进制计数器时,CET、CEP 接1,R——、P——E——根据同步还是异步根据上图连接。
级联两个计数器时,将10进制计数器的进位输出TC反相后接入六进制计数器的CLK输入端,以获取从1001变化到0000时刻的上升沿。
五、测试过程正式实验时使用了异步和同步两种清零的方法实验接线图:实验波形图:其中上两个波形是时钟信号和六进制计数器时钟信号。
(Multisim数电仿真)计数、译码和显示电路
(Multisim数电仿真)计数、译码和显⽰电路实验3.11 计数、译码和显⽰电路⼀、实验⽬的:1. 掌握⼆进制加减计数器的⼯作原理。
2. 熟悉中规模集成计数器及译码驱动器的逻辑功能和使⽤⽅法。
⼆、实验准备:1.计数:计数是⼀种最简单、最基本的逻辑运算,计数器的种类繁多,如按计数器中另外⼀种可预计的⼗进制加减可逆计数器CD4510,⽤途也⾮常⼴,其引脚排列如图3.11.3所⽰,其中,E P 为预计计数使能端,in C 为进位输⼊端,1P ~4P 为预计的输⼊端,out C 为进位输出端,U /D 为加减控制端,R 为复位端,CD4510输⼊、输出间的逻辑功能如表3.11.2所⽰。
表3.11.2:。
2. 译码与显⽰:⼗进制计数器的输出经译码后驱动数码管,可以显⽰0~9⼗个数字,CD4511是BCD~7段译码驱动集成电路,其引脚排列如图3.11.4所⽰。
LT 为试灯输⼊,BI 为消隐输⼊,LE 为锁定允许输⼊,A 、B 、C、D为BCD码输⼊,a~g为七段译码。
CD4511的逻辑功能如表3.11.3所⽰。
LED数码管是常⽤的数字显⽰器,分共阴和共阳两种,BS112201是共阴的磷化镓数码管,其外形和内部结构如图3.11.5所⽰。
图3.11.5三、计算机仿真实验内容:1. 计数10的电路:(1).单击电⼦仿真软件Multisim7基本界⾯左侧左列真实元件⼯具条“CMOS”按钮,从弹出的对话框“Family”栏中选“CMOS_10V”,再在“Component”栏中选取4093BD和4017BD各⼀只,如图3.11.6所⽰,将它们放置在电⼦平台上。
图3.11.6(2).单击电⼦仿真软件Multisim7基本界⾯左侧左列真实元件⼯具条“Source”按钮,从弹出的对话框“Family”栏中选“POWER_SOURCES”,再在“Component”栏中选取“VDD”和地线,将它们调出放置在电⼦平台上。
(3). 双击“VDD”图标,将弹出如图3.11.7所⽰对话框,将“V oltage”栏改成“10”V,再点击下⽅“确定”按钮退出。
实验四_计数译码显示
实验四 计数、译码、显示综合实验一、实验目的1、熟悉计数、译码、显示电路的工作原理及电路结构;2、了解计数器、译码器和显示器的逻辑功能;3、运用计数器、译码器和显示集成组件进行计数显示。
二、实验原理该实验电路由计数、译码、显示三部分构成。
计数单元是集成电路74LS192,它的引脚排列如图1。
74LS192是由四组触发器按8421BCD 码形式构成的十进制计数器,它具有双时钟输入,可进行加法和减法计数。
此外,还具有异步清零、异步置数和状态保持的功能。
它的功能真值表如表1所示。
译码电路采用集成电路74LS248,它是七段LED 字符显示译码器,其引脚排列如图2所示,输入的BCD 码由A 0、A 1、A 2、A 3输入,然后按字形规则译码后从Y 输出,输出端Y a 、Y b …..Y g 对CR VCC D 0D 1D 2D 3Q 0Q 2Q 1Q 3GNDCP D CP U BO CO LD图1. 74LS192引脚图表1. 74LS192功能表应于图3所示数码字形的a 、b 、……g 段。
本实验选用的显示器为共阴极型七段LED 显示器,七段中的每一段(取名为a 、b 、c 、d 、e 、f 、g )均是一个发光二极管,当显示某一数字,例如显示“4”时,输入端f 、g 、b 、c 必须是高电平使相应字段发光。
74LS248的输入BCD 码与输出译码之间的对应关系如表2所示。
74LS192、74LS248及数码管相应端口的连接关系如图4所示。
在计数状态下,74LS192的输出端Q 3、Q 2、Q 1、Q 0有相应的计数输出传送到译码器74LS248的输入端,经74LS248译码后的输出传送到数码管的对应输入,即可显示输入的计数脉冲数。
图2. 74LS248引脚图图3. 数码管表2. 74LS248的输入BCD 码与输出译码之间的对应关系图4. 74LS192、74LS248及数码管相应端口的连接关系三、实验内容及实验报告要求1、首先根据图4在实验板上将74LS192、74LS248及数码管的相应端口连接好。
实验9、计数译码显示电路
为了不断提高自己的实践能力和创新能力,我们将尝试设计更加复杂、 具有挑战性的数字电路实验项目,如高性能计数器、可编程逻辑器件等。
THANKS FOR WATCHING
感谢您的观看
实验过程
在实验过程中,我们按照实验指导书 的要求,逐步完成了电路的搭建和调 试。首先,我们设计了计数器电路, 实现了对输入信号的计数功能。然后 ,我们设计了译码器电路,将计数器 的输出信号转换为对应的数字显示信 号。最后,我们将计数器和译码器电 路连接起来,构成了完整的计数译码 显示电路。
实验结果
经过反复的调试和优化,我们成功实 现了计数译码显示电路的功能。该电 路能够准确地对输入信号进行计数, 并将计数结果以数字形式显示出来。 同时,我们还对电路的性能进行了测 试和分析,验证了电路的稳定性和可 靠性。
实验背景
计数译码显示电路是数字系统中常用的电路之一,用于将数字信号转换为可视化的数字显示。
计数译码显示电路通常由计数器、译码器和显示器等部分组成,其中计数器用于对输入信号 进行计数,译码器用于将计数器的输出信号转换为对应的数字显示信号,显示器则用于显示 数字信号。
在实际应用中,计数译码显示电路被广泛应用于各种数字仪表、控制器和智能终端等领域。
对未来实验的展望
01
深入研究数字电路
在今后的实验中,我们将进一步深入研究数字电路的基本原理和设计方
法,探索更加高效、稳定的电路设计方案。
02 03
拓展应用领域
除了计数译码显示电路外,我们还可以将数字电路应用于其他领域,如 通信、控制、数据处理等。因此,我们将积极拓展数字电路的应用范围, 探索其在不同领域中的应用潜力。
03 实验步骤与操作
搭建计数译码显示电路
译码计数电路实验报告(3篇)
第1篇一、实验目的1. 掌握译码计数电路的基本原理和设计方法。
2. 熟悉常用计数器芯片(如74LS90、74LS161)的功能和特性。
3. 学习使用译码器(如74LS47、CD4511)实现数字信号的译码显示。
4. 培养动手能力和实验技能,提高数字电路设计水平。
二、实验原理译码计数电路是一种将输入的数字信号转换为输出信号的电路,常用于数字显示、计数器、定时器等应用。
本实验主要介绍以下两种译码计数电路:1. BCD码七段译码显示电路:将4位二进制输入转换为7段数码管的输出,用于显示0-9的数字。
2. 十进制计数电路:对输入的时钟脉冲进行计数,并在数码管上显示计数值。
三、实验仪器与设备1. 数字逻辑实验箱2. 示波器3. 74LS90二-五-十进制计数器4. 74LS47BCD码七段译码显示驱动器5. 7段共阴极数码管6. 逻辑分析仪(可选)四、实验内容1. BCD码七段译码显示电路(1)搭建电路:将74LS90的输出端连接到74LS47的输入端,数码管连接到74LS47的输出端。
(2)功能测试:使用逻辑分析仪或示波器观察74LS90的输出波形,验证其计数功能;同时观察数码管显示的数字,验证译码显示功能。
2. 十进制计数电路(1)搭建电路:将74LS90的输出端连接到74LS47的输入端,数码管连接到74LS47的输出端。
(2)功能测试:使用逻辑分析仪或示波器观察74LS90的输出波形,验证其计数功能;同时观察数码管显示的数字,验证译码显示功能。
五、实验结果与分析1. BCD码七段译码显示电路实验结果表明,当输入不同的二进制数时,数码管可以正确显示对应的数字,验证了BCD码七段译码显示电路的功能。
2. 十进制计数电路实验结果表明,当输入时钟脉冲时,计数器可以正常计数,数码管显示的数字也随之增加,验证了十进制计数电路的功能。
六、实验总结1. 本实验成功实现了BCD码七段译码显示电路和十进制计数电路,验证了译码计数电路的基本原理和设计方法。
计数、译码、显示电路实验报告
计数、译码、显示电路实验报告实验目的1.掌握集成十进制计数器、显示译码驱动器及数码管的功能与使用方法。
2.学习译码器和共阳极七段显示器的使用方法。
3.进一步熟悉用示波器测试计数器输出波形的方法。
一、实验原理生活中常需要将计数脉冲值直观的显示出来,它的实现一般经过了下面几个步骤,如图,输出的脉冲信号通过显示器显示出相应的数字。
图3.7.1计数、译码、显示框图1.计数器输入的脉冲数通过计数器计数,并将结果用8421 BCD 码表示出来,本实验中采用了一种十进制计数器74LS160。
以74160为例,通过对集成计数器功能和应用的介绍,帮助读者提高借助产品手册上给出的功能表,正确而灵活地运用集成计数器的能力。
(1)74LS160的功能介绍74LS160为十进制可预置同步计数器,其逻辑符号如图,功能表见表表3.7.l74LS160的功能表输入输出CT P CT T CP D0 D1D 3 D4Q 0 Q1 Q2 Q3计数器译码器显示器脉冲信号CR LDL ××××××××L L L L H L ××↑ d 0 d 1 d 2 d 3d 0 d 1 d2d3H H H H↑××××计数 H H L ××××××保持 H H× L×××××保持注意:3210Q Q Q Q CT COT 计数器有下列输入端:异步清零端CR (低电平有效),时钟脉冲输入端CP ,同步并行置数控制LD (低电平有效),计数控制端 CT T 和 CTp ,并行数据输入端D 0~D 3。
它有下列输出端:四个触发器的输出端Q 0~Q 3,进位输出CO。
根据功能表3.7.l ,可看出74160具有下列功能:①异步清零功能:若CR 输入低电平,则不管其他输入端(包括CP 端)如何,实现四个触发器全部清零。
实验十触发器与计数译码显示
实验十触发器与计数译码显示一、维持阻塞D触发器实验采用74LS74芯片,它是上升沿触发、带复位端和置位端的双D触发器。
(1)用D触发器的Q端与D端相连就构成计数器状态。
在CP端加入单脉冲,注意观察Q端状态的变化,观察Q是在CP脉冲的上升沿还是下降沿翻转。
再在CP端加入高频连续脉冲,用双踪示波器同时观察并记录CP与Q端的工作波形。
(2)用D触发器构成二位异步二进制减法计数器预置计数器初始状态为“11”,用单脉冲(或低频连续脉冲)检查异步减法器的逻辑功能,并记录其输出状态。
二、计数器的功能测试将74LS290计数器插入IC插座,输出端Q A、Q B、Q C、Q D接LED发光二极管,四个置位端连逻辑开关。
(1)置位端的功能测试:接通电源后,将清零端R0(1) R0(2)及置“9”端S9(1) S9(2)分别置不同的电平,验证其置位功能并将结果填入表中。
使计数器处于计数工作状态。
(2)计数器逻辑功能验证将计数器的输出端Q A、Q B、Q C、Q D与译码器输入端A、B、C、D相连,使计数器处于计数状态,在CP端输入单脉冲,验证其计数功能。
注意观察其是上升沿触发还是下降沿触发。
三、用74LS290(或74LS90)组成各种进制的计数器:(1)8421码十进制计数器:按照预习内容接好线后,观察计数是否正常。
(2)六进制计数器,同上。
(3)九进制计数器,同上。
四、用两片74LS290或74LS90构成一百进制电路将两片计数器按预习内容接成六十进制计数器,CP接连续脉冲,测试计数功能。
实验电路图供参考。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
实验十触发器与计数译码显示
一、维持阻塞D触发器
实验采用74LS74芯片,它是上升沿触发、带复位端和置位端的双D触发器。
(1)用D触发器的Q端与D端相连就构成计数器状态。
在CP端加入单脉冲,注意
观察Q端状态的变化,观察Q是在CP脉冲的上升沿还是下降沿翻转。
再在CP端加入高频连续脉冲,用双踪示波器同时观察并记录CP与Q端的工作波形。
(2)用D触发器构成二位异步二进制减法计数器
预置计数器初始状态为“11”,用单脉冲(或低频连续脉冲)检查异步减法器的逻辑功能,并记录其输出状态。
二、计数器的功能测试
将74LS290计数器插入IC插座,输出端Q A、Q B、Q C、Q D接LED发光二极管,四个置位端连逻辑开关。
(1)置位端的功能测试:接通电源后,将清零端R0(1) R0(2)及置“9”端S9(1) S9(2)分别置
(2)计数器逻辑功能验证
将计数器的输出端Q A、Q B、Q C、Q D与译码器输入端A、B、C、D相连,使计数器处于计数状态,在CP端输入单脉冲,验证其计数功能。
注意观察其是上升沿触发还是下降沿触发。
三、用74LS290(或74LS90)组成各种进制的计数器:
(1)8421码十进制计数器:按照预习内容接好线后,观察计数是否正常。
(2)六进制计数器,同上。
(3)九进制计数器,同上。
四、用两片74LS290或74LS90构成一百进制电路
将两片计数器按预习内容接成六十进制计数器,CP接连续脉冲,测试计数功能。
实验电路图供参考。