使用 Calibre 实现RFCMOS 电路的寄生参量提取

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

使用Calibre xRC实现RFCMOS电路的寄生参量提取

及后仿真

中国科学院微电子研究所郭慧民

[摘要]

Calibre xRC是Mentor Graphics公司用于寄生参量提取的工具,其强大的功能和良好的易用性使其得到业界的广泛认可。本文以采用RFCMOS工艺实现的LNA为例,介绍使用Calibre xRC对RFCMOS电路寄生参量提取,以Calibreview 形式输出以及在Virtuoso的ADE中直接后仿真的流程。本文还将讨论Calibre xRC特有的XCELL方式对包含RF器件的电路仿真结果的影响。

采用Calibre xRC提取寄生参量

采用RFCMOS工艺设计低噪声放大器(LNA),其电路图如图1所示,版图如图2所示。

图1 LNA的电路图

图2 LNA的版图

Calibre支持将其快捷方式嵌入在Virtuoso平台中。用户只需在自己.cdsinit文件中加入以下一行语句:

load( strcat( getShellEnvVar("MGC_HOME") "/lib/calibre.skl" ))

就可以在virtuoso的菜单中出现“calibre”一项,包含如下菜单:

点击Run PEX,启动Calibre xRC的GUI,如图3所示。Outputs菜单中的Extraction Type里,第一项通常选择Transistor Level或Gate Level,分别代表晶体管级提取和门级提取。第二项可以选择R+C+CC,R+C,R,C+CC,其中R 代表寄生电阻,C代表本征寄生电容,CC代表耦合电容。第三项可以选择No Inductance,L或L+M,分别代表不提取电感,只提取自感和提取自感与互感。这些设置由电路图的规模和提取的精度而定。

在Format一栏中,可以选择SPECTRE,ELDO,HSPICE等网表形式,也可以

选择Calibre xRC提供的CALIBREVIEW形式。本文中选择CALIBREVIEW形式。Use Names From可以根据需要选择SCHEMATIC或LAYOUT。

图3 PEX的GUI界面

设置完毕后,点击Run PEX,开始寄生参量提取。通常,Calibre xRC先执行LVS,之后提取寄生参量,最后将电路图中的原有的器件和提取出的寄生电容,电阻和电感反馈到一新生成的带寄生信息的电路图中。PEX完成后,弹出如下对话框:

图4 Calibre View设置界面

其中,Output Library表示输出新生成的电路图的library,通常选为提取

寄生参量前的schematic和symbol所在的library即可。Calibre View Type 代表新生成的schematic的View形式,可以取任意名字,只要不与已有的view name重复即可。比如,取做calibre_r,calibre_rc或calibre_rcc,以分别代表不同的提取形式,本文中直接取成calibre。Cellmap File是描述寄生参量提取前后器件对应关系的文件,默认的是./calview.cellmap,即Virtuoso启动目录下的calview.cellmap文件。如果是第一次提取,需要按下面步骤配置这个文件。其他选项默认即可。

点击OK,即开始配置calview.cellmap文件,首先弹出如图5左所示对话框:

图5 设置calview.cellmap文件的对话框

这个对话框用来配置在新生成的带有寄生参量的电路图中的器件所对应的library,cell和view。本文中名为nmos_rfw5与foundry的PDK中提供的rfnmos2v5w的symbol相对应。点击Auto Map Pins,将自动出现Pin Map。如果不能自动匹配,通常是由于layout提取出的器件的pin的个数和symbol中pin的个数不一致,可以通过修改rule文件使其pin的数目一致。这样新生成的带寄生参量的电路图中将以这个symbol代表这个器件。其他的器件依此类推。最后要确定提出的寄生电容和寄生电阻的符号,通常采用analogLib中的cap 和res即可,如图5右所示。

全部器件设置完成后,在所选的Output Library中将出现cellname为lna,view为calibre的cell。打开后通常无法直接看到器件,这是由于其中包含的symbol太多,每个symbol太小难以全部显示。可以执行CTRL+A,找到symbol 的大致位置,再放大查看。这个calbre的view中包含了与symbol对应的pin,原来电路图中器件的符号,和生成的寄生电容和电阻,它们构成了带有寄生信息的电路图。因此,可以直接使用这个电路图进行后仿真。

直接在ADE中进行后仿真

直接采用前仿真时的测试电路,在composer中通过Tools->Analog Environment启动ADE。在setup菜单中选择Environment,弹出如图6所示对话框。

图6 ADE中的Environment对话框

在Switch View List中的最前端填入calibre。工具生成网表时,将按照顺序首先寻找名为calibre的view,然后是spectre,依此类推。如果需要仿真不同参数提取条件下的结果,只要将相应的view名称(比如calibre_r,calibre_rc,calibre_rcc等)放置在最前端Switch View List即可。其它各项默认,点击OK。选择仿真类型,进行仿真,这一步骤与前仿真完全相同。图7给出了本例中的LNA前仿真和提取RCC之后的后仿真的瞬态结果对比。由此可见,采用calibreview的输出形式能够非常方便的在Virtuoso的ADE中进行后仿真和比较前后仿真结果。

图7 LNA前仿真和后仿真瞬态波形对比

使用XCELL避免寄生参量的重复提取

图1中,黑线框所示为RF器件。与一般的MOS器件不同,这类器件的模型是代工厂经过实际测量得到的参数,在spice model中通过子电路表示。因此,它的模型中已经包含了器件的寄生信息。而且,由于这类器件的面积通常较大,其中的寄生电容和寄生电阻值是相当可观的。比如,在本设计中,所示的每个RFMOSFET的宽和长分别为500um和0.24um,每个器件包含50个finger。如果工具对RF器件的内部也进行提取,将会对导致器件的寄生电容和电阻重复提取。为了确保提取正确,Calibre xRC提供一种称为“黑盒”提取的方法,可以将指定的器件(通常是RF器件)看作理想器件,对其内部的节点之间的寄生电容和寄生电阻不再提取。具体步骤如下:

首先,先定义xcell文件,例如;

cellL cellL

cellR cellR

cellM cellM

左边是版图单元的名称,右边是电路图单元的名称。其中所指定的器件版图和电路图必须是单独的单元。通过这种方式定义版图和原理图单元的对应关系,以及提取寄生时所需要屏蔽的版图单元。其次,在XRC rule中添加PEX IDEAL

相关文档
最新文档