数电综合设计题

数电综合设计题
数电综合设计题

一、设计一个可控五进制计数器,当控制信号x=0时,电路保持原状态不变;当

x=1时,电路工作在计数状态。

原始状态转换图

二、设计一个串行数据检测器,当连续发生输入3个或三个以上1时,输出为1,其他状态输入情况下输出为0.

0 1

A A/0 B/0

B A/0 C/0

C A/0 D/1

D A/0 D/1

原始状态图

RS触发器JK触发器T触发器D触发器S R J K T D

0?0 0?1 1?0 1?10

1

x

X

1

1

x

x

X

X

1

1

1

1

1

例如:列出表所示电路的驱动方程X

0 0 0 0 0 1 0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

A B

D C

原始状态转换图

1/0

1/0

1/1

1/1

0/0

0/0

0/0

0/0

x/y

/

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

00 01 11 10

00

01 1 x x x

11 x x x

10 1

00 01 11 10

00 1 1

01 x x x

11 x x x

10 1 1

00 01 11 10

00 1 1

01 x x x

11 x x x

10 1 1

由卡诺图写出驱动方程

设计举例

1、设计一个任意位串行同步奇校验器。当串行输入的二进制数累计为奇数个1时,输出为

1,否则输出为0.

(1)状态图

Q1Q

00

XQ2

Q1Q

00

XQ2

Q1Q

00

XQ2

A B

0/0

1/1

1/0

0/1

X/Y

(2)状态表

0 1 0 0/0 1/1 1

1/1

0/0

(3)激励表 X

0 0 1 1 0 1 0 1 0 1 1 0 0 x 1 x

x 0 x 1

0 1 1 0

(4)逻辑图

J

Q

Q

K

SET

CLR

X

CP

2、 试用jk 触发器设计一个两位二进制可逆计数器,当输入控制信号x=0时,计数器按加

法计数,当输入x=1时,按减法计数。输出y=1表示有进位或借位。 1、 状态转换图

Y

输 入

/

出 现

2、激励表

X Y

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

X

X

1

X

X

X

X

1

X

1

x

1

X

1

X

1

X

1

x

X

1

X

1

X

1

X

1

1

1

3、由卡诺图做出各触发器输入端和电路输出端卡诺图。

00 01 11 10

0 1 x x

1 1 x x

00 01 11 10

0 x x 1

1 x x 1

00 01 11 10

0 1 x x 1

1 1 x x 1

00 01 11 10

00 01

11 10

0/0

0/0

0/0

0/1

1/0

1/0

1/0

1/1

X/Y

Q1Q

000

X

Q1Q

000

X

Q1Q

000

X

Q1Q

000

X

x 1 1 x 1 x 1 1 x

00 01 11 10 0 1 1 1

由驱动方程画逻辑图

J

Q

Q

K

SET

CLR

J

Q

Q

K

SET

CLR

&

00

&

00

00

>=1

1

=1

1

组合逻辑电路的设计

1、 某产品有A 、B 、C 、D 四项质量指标。规定:A 必须满足要求,其他三项中只要有任意两

项满足要求,产品就算合格。试用门电路设计检验该产品质量的逻辑电路。 解:1、列真值表。

根据题意,取四个质量指标A 、B 、C 、D 为输入变量,且规定满足要求时取值为1,不满足要求时取值为0.此电路有一个输出变量,用F 表示,且规定当产品及格时F=1,不合格时F=0.

A B C D F 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 0 1 0 0 0 0 1 0 0 1 0 1 0 1 0 1 1 0 1 1 0 1

1

1

Q1Q 000

X

1

X

CP

Y

11011

11111

2、写逻辑表达式

由真值表可得

3、化简表达式

F=ABD+ABC+ACD

4、根据表达式画出逻辑电路图

逻辑图1

3、设X、Z均为三位二进制数,X为输入,Z为输出,要求两者之间有如下关系:当

时,Z=X+2;当X<2时,Z=1;当X>5时,Z=0。试用一片3线-8线译码器74ls138构成实现上述要求的逻辑电路。

解:1、列真值表。根据题意,取三位二进制数为输入变量,数为

000001

001001

010100

011101

100110

101111

110000

111000

2、写逻辑表达式

3逻辑电路图

设计一个多数表决电路,以判别A 、B 、C 三人中是否多数赞成。要求用四选一选择器实现电路

一 列真值表。根据题意,取A 、B 、C 三人的态度为输入变量,且规定赞同用1表示,否决用0表示;取表决结果为输出变量F ,且规定多数赞同用1表示,多数反对用0表示。

A

B C F 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1

1

1

1

写逻辑表达式

逻辑电路图

1 F

设计一个多数表决电路,以判别A 、B 、C 三人中是否多数赞成。要求用八选一选择器实现电路

一 列真值表。根据题意,取A 、B 、C 三人的态度为输入变量,且规定赞同用1表示,否决用0表示;取表决结果为输出变量F ,且规定多数赞同用1表示,多数反对用0表示。

A

B

C F

A 0 A 1 D 0 D 1 D 2 1/274LS153

D 3 Y

0000

0010

0100

0111

1000

1011

1101

1111

写逻辑表达式

逻辑电路图

A

B

C

设计控制楼梯照明灯的电路。

两个单刀双掷开关A和B分别装在楼上和楼下。无论在楼上还是在楼下都能单独控制开灯和关灯。设灯为L,L为1表示灯亮,L为0表示灯灭。对于开关A和B,用1表示开关向上扳,用0表示开关向下扳。

真值表

A B L

001

010

100

111

A0 /Y

A0 74LS152

A0

D0 D1 D2 D3 D4 D5 D6 D7

1

逻辑表达式

分析如图时序电路的逻辑功能。(74ls112(JK 触发器),74ls08(与门))

U1A

74LS112N

1Q

5

~1Q

6~1PR

41K

2

~1CLR

15

1J 31CLK 1U2A

74LS112N

1Q

5

~1Q 6

~1PR

41K

2

~1CLR

15

1J 31CLK 1U3A

74LS112N

1Q

5

~1Q 6

~1PR

4

1K

2

~1CLR

15

1J

3

1CLK 1U4A

74LS08D

Q1

Q2

Q3Y

解:由图可知,各触发器由同一时钟信号CP 控制,电路没有外部输入信号,所以此电路为同步摩尔型时序电路。 驱动方程:

电路的驱动方法

输出方程:

状态转换表

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

状态转换图

所以此电路是一个可自启动的同步五进制加法计数器电路。

分析此电路在Dsl输入端串行输入数码1011时,Q0,Q1,Q2,Q3的输出情况,填写下列状态表格。已知该电路在接收数码前清零。

000 001

111

010

110

101

011

100

Q3Q2Q1

/0 /0

/0

/0

/1

/1

/1

/1

/Y

Cp顺序输入Dsr Q0Q1Q2Q3 010000 100001 210010 310101 401011 500110 601100 701000 800000

时序图

功能

数电实验报告 实验二 组合逻辑电路的设计

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 A i B i C i S i C i+1 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 10 1 1 1 00 1 1 1 1 1 1 2)由表2-1全加器真值表写出函数表达式。

模拟电路课程设计心得体会

模拟电路课程设计心得 体会 内部编号:(YUUT-TBBY-MMUT-URRUY-UOOY-DBUYI-0128)

精选范文:《模拟电路》课程设计心得体会(共2篇)本学期我们开设了《模拟电路》与《数字电路》课,这两门学科都属于电子电路范畴,与我们的专业也都有联系,且都是理论方面的指示。正所谓“纸上谈兵终觉浅,觉知此事要躬行。”学习任何知识,仅从理论上去求知,而不去实践、探索是不够的,所以在本学期暨模电、数电刚学完之际,紧接着来一次电子电路课程设计是很及时、很必要的。这样不仅能加深我们对电子电路的任职,而且还及时、真正的做到了学以致用。这两周的课程设计,先不说其他,就天气而言,确实很艰苦。受副热带高气压影响,江南大部这两周都被高温笼罩着。人在高温下的反应是很迟钝的,简言之,就是很难静坐下来动脑子做事。天气本身炎热,加之机房里又没有电扇、空调,故在上机仿真时,真是艰熬,坐下来才一会会,就全身湿透,但是炎炎烈日挡不住我们求知、探索的欲望。通过我们不懈的努力与切实追求,终于做完了课程设计。在这次课程设计过程中,我也遇到了很多问题。比如在三角波、方波转换成正弦波时,我就弄了很长时间,先是远离不清晰,这直接导致了我无法很顺利地连接电路,然后翻阅了大量书籍,查资料,终于在书中查到了有关章节,并参考,并设计出了三角波、方波转换成正弦波的电路图。但在设计数字频率计时就不是那么一帆风顺了。我同样是查阅资料,虽找到了原理框图,但电路图却始终设计不出来,最后实在没办法,只能用数字是中来代替。在此,我深表遗憾!这次课程设计让我学到了很多,不仅是巩固了先前学的模电、数电的理论知识,而且也培养了我的动手能力,更令我的创造性思维得到拓展。希望今后类似这样课程设计、类似这样的锻炼机会能更多些!

66 数字电路综合设计

6.6 数字电路综合设计 6.6.1汽车尾灯控制电路 1. 要求:假设汽车尾部左右两侧各有三个指示灯(用发光二极管模拟),要求汽车正常运行时指示灯全灭;右转弯时,右侧3个指示灯按右循环顺序点亮;左转弯时左侧三个指示灯按左循环顺序点亮;临时刹车时所有指示灯同时闪烁。2.电路设计: (1)列出尾灯和汽车运行状态表如表6.1所示 (2)总体框图:由于汽车左或右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯和各给定条件(S1、S0、CP、Q1、Q0)的关系,即逻辑功能表(如表6-2所示(表中0表示灯灭,1表示灯亮)。 由表6-2得总体框图如图6.6-1所示 图6.6-1汽车尾灯控制电路原理框图

(3)单元电路设计 三进制计数器电路可根据表6-2由双J—K触发器74LS76构成。 汽车尾灯控制电路如图6.6-2所示,其显示驱动电路由6个发光二极管构成;译码电路由3—8线译码器74LSl38和6个和门构成。74LSl38的三个输入端A2、A1、A0分别接S1、Q1、Q0,而Q1Q0是三进制计数器的输出端。当S1=0,使能信号A=G=1,计数器的状态为00,01,10时,74LSl38对应的输出端 Y、1Y、2Y依次为0有效(3Y、4Y、5Y信号为“1” 0 无效),反相器G1—G3的输出端也依次为0,故指示灯D1→D2→D3按顺序点亮,示意汽车右转弯。若上述条件不变,而S1=1,则74LSl38对应的输出端 Y、5Y、6Y依次为0有 4 效,即反相器G4~G6的输出端依次为0,故指示灯D4→D5→D6按顺序点亮,示意汽车左转弯。当G=0,A=1时,74LSl38的输出端全为1,G6~G1的输出端也全为1,指示灯全灭;当G=0,A=CP时,指示灯随CP的频率闪烁。 对于开关控制电路,设74LSl38和显示驱动电路的使能

数字电路及设计实验

常用数字仪表的使用 实验内容: 1.参考“仪器操作指南”之“DS1000操作演示”,熟悉示数字波器的使用。 2.测试示波器校正信号如下参数:(请注意该信号测试时将耦合方式设置为直流耦合。 峰峰值(Vpp),最大值(Vmax),最小值(Vmin), 幅值(Vamp),周期(Prd),频率(Freq) 顶端值(Vtop),底端值(Vbase),过冲(Overshoot), 预冲(Preshoot),平均值(Average),均方根值(Vrms),即有效值 上升时间(RiseTime),下降时间(FallTime),正脉宽(+Width), 负脉宽(-Width),正占空比(+Duty),负占空比(-Duty)等参数。 3.TTL输出高电平>2.4V,输出低电平<0.4V。在室温下,一般输出高电平是3.5V,输出低 电平是0.2V。最小输入高电平和低电平:输入高电平>=2.0V,输入低电平<=0.8V。 请采用函数信号发生器输出一个TTL信号,要求满足如下条件: ①输出高电平为3.5V,低电平为0V的一个方波信号; ②信号频率1000Hz; 在示波器上观测该信号并记录波形数据。

集成逻辑门测试(含4个实验项目) (本实验内容选作) 一、实验目的 (1)深刻理解集成逻辑门主要参数的含义和功能。 (2)熟悉TTL 与非门和CMOS 或非门主要参数的测试方法,并通过功能测试判断器件好坏。 二、实验设备与器件 本实验设备与器件分别是: 实验设备:自制数字实验平台、双踪示波器、直流稳压电源、数字频率计、数字万用表及工具; 实验器件:74LS20两片,CC4001一片,500Ω左右电阻和10k Ω左右电阻各一只。 三、实验项目 1.TTL 与非门逻辑功能测试 按表1-1的要求测74LS20逻辑功能,将测试结果填入与非门功能测试表中(测试F=1、0时,V OH 与V OL 的值)。 2.TTL 与非门直流参数的测试 测试时取电源电压V CC =5V ;注意电流表档次,所选量程应大于器件电参数规范值。 (1)导通电源电流I CCL 。测试条件:输入端均悬空,输出端空载。测试电路按图1-1(a )连接。 (2)低电平输入电流I iL 。测试条件:被测输入端通过电流表接地,其余输入端悬空,输出空载。测试电路按图1-1(b )连接。 (3)高电平输入电流I iH 。测试条件:被测输入端通过电流表接电源(电压V CC ),其余输入端均接地,输出空载。测试电路按图1-1(c )连接。 (4)电压传输特性。测试电路按图1-2连接。按表1-2所列各输入电压值逐点进行测量,各输入电压值通过调节电位器W 取得。将测试结果在表1-2中记录,并根据实测数据,做出电压传输特性曲线。然后,从曲线上读出V OH ,V OL ,V on ,V off 和V T ,并计算V NH ,V NL 等参数。 表1-1 与非门功能测试表

09电信电子线路课程设计题目

电子线路课程设计题目 (模电、数电部分) 一、锯齿波发生器 二、语音放大电路 三、可编程放大器 四、数字频率计 五、可调电源 六、汽车尾灯控制电路 2011.09

一、设计一高线性度的锯齿波发生器 要求: (1)利用555定时器和结型场效应管构成的恒流源设计一高线性度的锯齿波发生器;参考电路如图所示; (2)在EWB中对该电路进行仿真; (3)焊接电路并进行调试;调试过程中思考: a、电路中两个三极管的作用是什么?其工作状态是怎么样的? b、R3阻值的大小会对锯齿波的线性度产生什么影响? c、输出锯齿波的幅值范围多大? d、调节电路中的可调电阻对波形有什么影响? e、LM324的作用是什么? (4)参考电路图中采用的是结型场效应管设计的,若采用N沟道增强型VMOS管和555定时器来设计一高线性度的锯齿波发生器,该如何设计? LM324 图2 高线性度锯齿波发生器的设计

二、语音放大电路的设计 通常语音信号非常微弱,需要经过放大、滤波、功率放大后驱动扬声器。 要求: (1)采用集成运算放大器LM324和集成功放LM386N-4设计一个语音放大电路;假设语音信号的为一正弦波信号,峰峰值为5mV,频率范围为100Hz~1KHz,电路总体原理图如下所示; 图4 语音放大电路 (2)仔细分析以上电路,弄清电路构成,指出前置放大器的增益为多少dB?通带滤波器的增益为多少dB? (3)参照以上电路,焊接电路并进行调试。 a、将输入信号的峰峰值固定在5mV,分别在频率为100Hz和1KHz的条件下测试前 置放大的输出和通带滤波器的输出电压值,计算其增益,将计算结果同上面分析 的理论值进行比较。 b、能过改变10K殴的可调电阻,得到不同的输出,在波形不失真的条件下,测试集 成功放LM386在如图接法时的增益; c、将与LM386的工作电源引脚即6引脚相连的10uF电容断开,观察对波形的影响, 其作用是什么? d、扬声器前面1000uF电容的作用是什么?

数字电路与系统设计实验报告

数字电路与系统设计实验报告 学院: 班级: 姓名:

实验一基本逻辑门电路实验 一、实验目的 1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验设备 1、二输入四与非门74LS00 1片 2、二输入四或非门74LS02 1片 3、二输入四异或门74LS86 1片 三、实验内容 1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验方法 1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。 五、实验过程 1、测试74LS00逻辑关系 (1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯) (2)真值表 2、测试74LS02逻辑关系

(1)接线图 (2)真值表 3、测试74LS86逻辑关系接线图 (1)接线图 (2)真值表 六、实验结论与体会 实验是要求实践能力的。在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。实在检查不出来,可以请老师和同学帮忙。

实验二逻辑门控制电路实验 一、实验目的 1、掌握基本逻辑门的功能及验证方法。 2、掌握逻辑门多余输入端的处理方法。 3、学习分析基本的逻辑门电路的工作原理。 二、实验设备 1、基于CPLD的数字电路实验系统。 2、计算机。 三、实验内容 1、用与非门和异或门安装给定的电路。 2、检验它的真值表,说明其功能。 四、实验方法 按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。 五、实验过程 1、用3个三输入端与非门IC芯片74LS10安装如图所示的电路。 从实验台上的时钟脉冲输出端口选择两个不同频率(约7khz和14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 2、实验得真值表

模电数电复习题(已整理)

第1章常用半导体器件 自测题 三、写出图Tl.3所示各电路的输出电压值,设二极管导通电压 U D=0.7V。 图T1.3 解:U O1=1.3V, U O2=0V, U O3=-1.3V, U O4=2V, U O5=1.3V, U O6=-2V。 四、已知稳压管的稳压值U Z=6V,稳定电流的最小值I Zmin=5mA。求图Tl.4所示电路中U O1和U O2各为多少伏。 (a) (b) 图T1.4 解:左图中稳压管工作在击穿状态,故U O1=6V。 右图中稳压管没有击穿,故U O2=5V。

五、电路如图T1.5所示,V CC=15V,=100,U BE=0.7V。 试问: (1)R b=50k时,U o=? (2)若T临界饱和,则R b=? 解:(1)26 BB BE B b V U I A R μ - ==, 2.6 C B I I mA β ==, 2 O CC C c U V I R V =-=。图T1.5 (2)∵ 2.86 CC BE CS c V U I mA R - ==,/28.6 BS CS I I A βμ == ∴45.5 BB BE b BS V U R k I - ==Ω 习题 1.2电路如图P1.2所示,已知10sin i u tω =(V),试画出i u与o u的波形。设二极管导通电压可忽略不计。 图P1.2 解图P1.2 解: i u与o u的波形如解图Pl.2所示。

1.3电路如图P1.3所示,已知t u i ωsin 5=(V ),二极管导通电压 U D =0.7V 。试画出i u 与o u 的波形图,并标出幅值。 图P1.3 解图 P1.3 解:波形如解图Pl.3所示。 第2章 基本放大电路 2.7电路如图P2.7所示,晶体管的β=80 ,' 100bb r =Ω。分别计算 L R =∞ 和3L R k =Ω时的 Q 点、u A 、i R 和o R 。 图P2.6 图P2.7

模拟电路课程设计心得体会

模拟电路课程设计心得体会 本学期我们开设了《模拟电路》与《数字电路》课,这两门学科都属于电子电 路范畴,与我们的专业也都有联系,且都是理论方面的指示。正所谓“纸上谈 兵终觉浅,觉知此事要躬行。”学习任何知识,仅从理论上去求知,而不去实践、探索是不够的,所以在本学期暨模电、数电刚学完之际,紧接着来一次电 子电路课程设计是很及时、很必要的。这样不仅能加深我们对电子电路的任职,而且还及时、真正的做到了学以致用。 这两周的课程设计,先不说其他,就天气而言,确实很艰苦。受副热带高气压 影响,江南大部这两周都被高温笼罩着。人在高温下的反应是很迟钝的,简言之,就是很难静坐下来动脑子做事。天气本身炎热,加之机房里又没有电扇、 空调,故在上机仿真时,真是艰熬,坐下来才一会会,就全身湿透,但是炎炎 烈日挡不住我们求知、探索的欲望。通过我们不懈的努力与切实追求,终于做 完了课程设计。 在这次课程设计过程中,我也遇到了很多问题。比如在三角波、方波转换成正 弦波时,我就弄了很长时间,先是远离不清晰,这直接导致了我无法很顺利地 连接电路,然后翻阅了大量书籍,查资料(material),终于在书中查到了有关 章节,并参考,并设计出了三角波、方波转换成正弦波的电路图。但在设计数 字频率计时就不是那么一帆风顺了。我同样是查阅资料(material),虽找到了 原理框图,但电路图却始终设计不出来,最后实在没办法,只能用数字是中来 代替。在此,我深表遗憾! 这次课程设计让我学到了很多,不仅是巩固了先前学的模电、数电的理论知识,

而且也培养了我的动手能力,更令我的创造性思维得到拓展。希望今后类似这样课程设计、类似这样的锻炼机会能更多些!

数电综合设计题

一、设计一个可控五进制计数器,当控制信号x=0时,电路保持原 状态不变;当x=1时,电路工作在计数状态。 原始状态转换图 二、设计一个串行数据检测器,当连续发生输入3个或三个以上1时,输出为1,其他状态输入情况下输出为0.

0 1 A A/0 B/0 B A/0 C/0 C A/0 D/1 D A/0 D/1 原始状态图 RS触发器JK触发器T触 发器 D触发器 S R J K T D 0?0 0?1 1?0 1?10 1 x X 1 1 x x X X 1 1 1 1 1 X 0 0 0 0 0 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 A B D C 原始状态转换图 1 1 1 输 入 次 态 输 出 现 态

1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 00 01 1 x x x 11 x x x 10 1 1 1 1 1 00 1 1 01 x x x 11 x x x 10 1 1 0011 00 1 1 01 x x x 11 x x x 10 1 1 由卡诺图写出驱动方程 设计举例 1、设计一个任意位串行同步奇校验器。当串行输入的二进制数累计为奇数个1时,输出为1,否则输出为0. (1)状态图 Q X Q X Q X A B 1 X

(2 0 1 0 0/0 1/1 1 1/1 0/0 X 0 0 1 1 0 1 0 1 0 1 1 0 0 x 1 x x 0 x 1 0 1 1 0 (4)逻辑图 J Q Q K SET CLR X CP 2、 试用jk 触发器设计一个两位二进制可逆计数器,当输入控制信号x=0时,计数器按加法计数,当输入x=1时,按减法计数。输出y=1表示有进位或借位。 1、 状态转换图 Y 输入 次 态 输 出现 态

数字电路实验 计数器的设计

数字电路与逻辑设计实验报告实验七计数器的设计 姓名:黄文轩 学号:17310031 班级:光电一班

一、实验目的 熟悉J-K触发器的逻辑功能,掌握J-K触发器构成异步计数器和同步计数器。 二、实验器件 1.数字电路实验箱、数字万用表、示波器。 2.虚拟器件: 74LS73,74LS00, 74LS08, 74LS20 三、实验预习 1. 复习时序逻辑电路设计方法 ①根据设计要求获得真值表 ②画出卡诺图或使用其他方式确定状态转换的规律 ③求出各触发器的驱动方程 ④根据已有方程画出电路图。 2. 按实验内容设计逻辑电路画出逻辑图 Ⅰ、16进制异步计数器的设计 异步计数器的设计思路是将上一级触发器的Q输出作为下一级触发器的时钟信号,置所有触发器的J-K为1,这样每次到达时钟下降沿都发生一次计数,每次前一级 触发器从1变化到0都使得后一级触发器反转,即引发进位操作。 画出由J-K触发器组成的异步计数器电路如下图所示:

使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位 触发器的输出,以及时钟信号。: 可以看出电路正常执行16进制计数器的功能。 Ⅱ、16进制同步计数器的设计 较异步计数器而言,同步计数器要求电路的每一位信号的变化都发生在相同的时间点。

因此同步计数器各触发器的时钟脉冲必须是同一个时钟信号,这样进位信息就要放置在J-K 输入端,我们可以把J-K端口接在一起,当时钟下降沿到来时,如果满足进位条件(前几位触发器输出都为1)则使JK为1,发生反转实现进位。 画出由J-K触发器和门电路组成的同步计数器电路如下图所示 使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位触发器的输出,计数器进位输出,以及时钟信号。:

数字电路组合逻辑电路设计实验报告

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测出门电路的输出响应。动

态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。 测试电路如图3-2所示。试验中A、B输入高、低电平,由数字电路实验箱中逻辑电平产生电路产生,输入F可直接插至逻辑电平只是电路的某一路进行显示。

仿真示意 2.门电路的动态逻辑功能测试 动态测试用于数字系统运行中逻辑功能的检查,测试时,电路输入串行数字信号,用示波器比较输入与输出信号波形,以此来确定电路的功能。实验时,与非门输入端A加一频率为

最新模电数电复习题(已整理)

第1章 常用半导体器件自测题 三、写出图Tl.3 所示各电路的输出电压值,设二极管导通电压U D =0.7V 。 图T1.3 解:U O1=1.3V , U O2=0V , U O3=-1.3V , U O4=2V , U O5=1.3V , U O6=-2V 。 四、已知稳压管的稳压值U Z =6V ,稳定电流的最小值I Zmin =5mA 。求图Tl.4 所示电路中U O1和U O2各为多少伏。 (a) (b) 图T1.4 解:左图中稳压管工作在击穿状态,故U O1=6V 。 右图中稳压管没有击穿,故U O2=5V 。 五、电路如图T1.5所示,V CC =15V ,β=100,U BE =0.7V 。 试问: (1)R b =50k Ω时,U o=? (2)若T 临界饱和,则R b =? 解:(1)26BB BE B b V U I A R μ-= =, 2.6C B I I mA β==,

2O CC C c U V I R V =-=。 图T1.5 (2)∵ 2.86CC BE CS c V U I mA R -= =, /28.6BS CS I I A βμ== ∴45.5BB BE b BS V U R k I -= =Ω 习题 1.2电路如图P1.2 所示,已知10sin i u t ω=(V ),试画出i u 与o u 的波形。设二极管导通电压可忽略不计。 图P1.2 解图P1.2 解:i u 与o u 的波形如解图Pl.2所示。 1.3电路如图P1.3所示,已知t u i ωsin 5=(V ),二极管导通电压U D =0.7V 。试画出i u 与 o u 的波形图,并标出幅值。 图P1.3 解图P1.3 解:波形如解图Pl.3所示。

数电自主实验——多功能电子表的设计与实现

多功能电子表的设计与实现 ——基于Basys2开发板电路设计及仿真1.实验目的 1.了解有关FPGA的基本知识以及在电路设计的应用; 2.了解并学会利用Verilog HDL硬件开发语言设计特定功能的电路,加深对知识的理解;3.了解Basys2开发板的特点并利用其元件在硬件上实现电路功能; 4.在完成电路设计的过程中积累实际工程开发的经验; 5.培养对于新型实验器材的理解和学习能力; 6.在实验中练习并熟悉有关嵌入式系统开发的过程,为未来的学习打下基础。 2.总体设计方案或技术路线 1.查阅资料,了解Basys2工作相关特点,对于FPGA的开发过程有初步认识; 2.学习Verilog HDL硬件开发语言,阅读相关程序实例加深对于编程语言及模块的理解;3.确定本次试验电子表的功能,编写程序进行实现; 4.对于编写程序进行调试,修改编写过程中出现的语法错误; 5.再对上一步中调试好的程序进行仿真,编写仿真代码,分析输出并进一步修改程序;6.对于仿真好的程序建立ucf文件进行引脚约束及综合,生成bit文件; 7.将bit文件烧写到开发板中,在硬件中实现预定功能; 8.对整个实验过程进行总结,分析输出效果并寻找改进方法。 3.实验电路图 由于本实验的电路设计基本全部由Verilog HDL硬件编程语言完成(具体代码附于报告结尾处),因此,没有具体芯片电路图。 而在仿真软件中,提供了实验电路的RTL级原理图和技术原理图。因此我们可以利用ISE Design Suite 14.7电路设计和仿真软件自动生成实验电路的原理图,具体操作过程为,在编写好程序后,双击鼠标左键选择运行Synthesize - XST对电路进行综合,综合成功后,在其子目录下会有View RTL Schematic和View Technology Schematic两个选项,双击这两个选项即可查看该电路的RTL级原理图和技术原理图(如下图)。 由于电路的搭建主要由代码实现,因此软件提供的主要为电路的输入输出原理图,而非具体的电路图,但对于工程的建立与调试已经足够,也就不需要另画详细的电路图了 RTL级原理图:

模电、数电课程设计

1 数字电子设计部分 1.1 课程设计的目的与作用 数字逻辑电路是实践性很强的一门学科,通过实践可以大大提高学生的理论水平和 实际动手能力。通过本次课程设计,使学生能够巩固已学专业基础课的理论知识,锻炼 学生的实践动手能力,培养学生对电子电路的设计能力,加强学生在分析问题、解决问 题能力上的训练和培养,为启发学生的创新意识和培养创新能力起到重要的作用,为其 专业学习研究打下良好的基础。 同时培养学生科学实验研究的认真精神,使之明白理论与实践的紧密联系,使其养 成良好的作业习惯,为其以后的工作研究打下良好的基础。 时序电路,触发器,序列发生器,是数电技术的基础,熟练掌握其工作特性才能为其以 后在数电上的发展打下基础。 1.2设计任务 1.利用在理论课上所学到的知识,结合对数字电子器件的认识,利用JK触发器,各种 逻辑门电路设计出以010、001为无效态的三位二进制同步减法计数器。并检查能否自启动,检查完毕,搭接电路,进行验证。 2. 利用JK触发器,各种逻辑门电路设计出串行序列发生电路,使其发生100111序列,并检查能否自启动,检查完毕,搭接电路,进行验证。 1.3 三位同步二进制减法计数器电路设计 1.3.1抽象状态图获得驱动方程 1.已知三位同步二进制减法计数器的无效状态为010、001,则抽象出状态图为

1.3.1三位二进制减法计数器状态图 2.根据三位同步二进制减法计数器状态图可得输出状Y的次态卡诺图。 1.3.2输出状态Y的卡诺图 3.将输出状Y的次态卡诺图分解可得Q 2n+1Q 1 n+1Q n+1的次态卡诺图。 1.3.3输出状态Q2n+1次态图 1.3.4输出状态Q1n+1次态图

数电模电课程设计

目录 1 数字电子设计部分......................................... 错误!未定义书签。 1.1六进制同步加法计数器 1.1.1课程设计的目的 1.1.2设计的总体框图 1.1.3设计过程 1.1.4设计的逻辑电路图 1.1.5设计的电路原理图 1.1.6实验仪器 1.1.7实验结论(分析实验中出现的故障及产生的原因 1.1.6实验仪器 1.1.7实验结论(分析实验中出现的故障及产生的原因 1.2串型数据检测器 1.2.1课程设计的目的 1.2.2设计的总体框图 1.2.3设计过程 1.2.4设计的逻辑电路图 1.2.5设计的电路原理图 1.2.6实验仪器 1.2.7实验结论(分析实验中出现的故障及产生的原因) 1.3参考文献

2 模拟电子设计部分......................................... 错误!未定义书签。 2.1 课程设计的目的与作用............................... 错误!未定义书签。 2.1.1课程设计...................................... 错误!未定义书签。 2.2 设计任务、及所用multisim软件环境介绍.............. 错误!未定义书签。 2.3 电路模型的建立..................................... 错误!未定义书签。 2.4 理论分析及计算..................................... 错误!未定义书签。 2.5 仿真结果分析....................................... 错误!未定义书签。 2.6 设计总结和体会..................................... 错误!未定义书签。 2.7 参考文献........................................... 错误!未定义书签。

数字电子技术课程设计报告(数字钟)

目录 一.设计目的 (1) 二.实现功能 (1) 三.制作过程 (1) 四.原理框图 (3) 4.1 数字钟构成 (3) 4 .2设计脉冲源 (4) 4.3 设计整形电路 (5) 4.4 设计分频器 (5) 4.5 实际计数器 (6) 4.6 译码/驱动器电路的设计 (7) 4.7 校时电路 (8) 4.8 整点报时电路 (9) 4.9 绘制总体电路图 (10) 五.具体实现 (10) 5.1电路的选择 (10) 5.2集成电路的基本功能 (10) 5.3 电路原理 (11) 六.感想与收获 (12) 七.附录 (14)

数字电子技术课程设计报告 一、设计目的 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 石英数字钟,具有电路简洁,代表性好,实用性强等优点,在数字钟的制作中,我们采用了传统的PCMS大规模集成电路为核心,配上LED发光显示屏,用石英晶体做稳频元件,准确又方便。 二、实现功能 ①时间以12小时为一个周期; ②显示时、分、秒; ③具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; ④计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时;

数电课程设计基于Multisim的乒乓球游戏机控制电路设计

课程设计(论文) 课程名称:数字电子技术基础 题目:基于Multisim的乒乓球游戏机控制电路设计院(系): 专业班级: 姓名: 学号: 指导教师:

任务书 设计题目:基于Multisim乒乓球游戏机的控制设计电路 课题目的: 该乒乓球游戏机电路主要由3块组成:球台驱动电路,控制电路和计分电路组成。其中球台电路主要实现游戏者击球完毕后球的左右移动显示位置功能;控制电路实现游戏者A和B击球,裁判对系统初始化的功能;计分电路具有当A或B击球有效时加分和当游戏者的分数累计超过10时报警通知裁判对系统初始化以便重新开始比赛计分功能。 课题主要内容与要求: 内容:本课题设计一个以8个二极管的依次被点亮代表球的移动位置双向选择开关J2,J3控制发球,击球信号,在Multisim软件上测试结果。 要求:1、熟悉Multisim软件 2、用8个发光二极管表示球,用俩个按钮分别表示AB俩个球员的球拍; 3、A,B各有一个数码管计分。 4、裁判有一个按钮,用来对系统初始化,每次得分后按下一次。

摘要 乒乓球游戏机通过十分巧妙地设计采用数字芯片实现乒乓球左右移动,选手击球得分,累计得分超10报警灯功能。该设计三个双向开关J1,J2,J3分别作为裁判和游戏者A,B,且选手可以译码显示器上直接读出自己的得分,具有操作简单,结构清晰的优点。 对与模电课题的研究离不开电路图,不过现在都在实行电子化,所以需要借助电子产品。Multisim软件就是一款画电路图的电子软件,在此对不太熟悉或未接触过Multisim软件的朋友简短的介绍下: Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。同时具备可以根据自己的需求制造出真正属于自己的仪器;所有的虚拟信号都可以通过计算机输出到实际的硬件电路上;所有硬件电路产生的结果都可以输回到计算机中进行处理和分析等特点。该乒乓球游戏机电路主要有3块电路:台球驱动电路,控制电路和计分电路组成。其中台球驱动电路主要实现游戏者击球完毕后球的左右移动显示位置功能;控制电路实现游戏者A和B击球,裁判对系统初始化的功能;

数电课程设计

一、数字电子钟 1.设计目得 (1)培养数字电路得设计能力。 (2)掌握数字电子钟得设计、组装与调试方法。 2.设计内容及要求 (1)设计一个数字电子钟电路。要求: ①按24小时制直接显示“时”、“分”、“秒”。 ②当电路发生走时误差时具有校时功能。 ③具有整点报时功能,报时音响为4低1高,即在59分51秒、53秒、55秒、57秒输出500Hz信号,在59分59秒时输出1000 Hz信号,音响持续时间为1秒,最后一响结束时刻正好为整点。 (2)用中小规模集成电路组成电子钟,并在实验仪上进行组装、调试。 (3)画出各单元电路图、整机逻辑框图与逻辑电路图,写出设计、实验总结报告。 (4)选作部分:①闹钟系统。②日历系统。 3.数字电子钟基本原理及设计方法 数字电子钟得逻辑框图如图1411所示。它由振荡器、分频器、计数器、译码器、显示器、校时电路与整点报时电路组成。振荡器产生得脉冲信号经过分频器作为秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器显示时间。有得数字电子钟还加有定时响铃、日历显示等其它功能,需增加相应得辅助电路。 图1411 数字电子钟得基本逻辑框图 (1)振荡分频电路 振荡器就是数字电子钟内部用来产生时间标准“秒”信号得电路。构成振荡器得电路很多,图1412(a)就是RC环形多谐振荡器,其振荡周期T≈2、2RC。作为时钟,最主要得就是走时准确,这就要求振荡器得频率稳定。要得到频率稳定得信号,需要采用石英晶体振荡器。石英晶体振荡器电路如图1412(b)所示,这种电路得振荡频率只取决于石英晶体本身得固有频率。 图1412 振荡器

(a)RC环形多谐振荡器 (b)石英晶体多谐振荡器 由于石英晶体振荡器产生得频率很高,要得到秒信号,需采用分频电路。例如,振荡器输出4 MHz信号,先经过4分频变成1 MHz,再经过6次10分频计数器,便可得到1Hz得方波信号作为秒脉冲。 (2)计数器 把秒脉冲信号送入秒计数器个位得CP输入端,经过6级计数器,分别得到“秒”个位、十位,“分”个位、十位,以及“时”个位、十位得计时。“秒”、“分”计数器为60进制,“时”计数器为24进制。 24进制计数器如图1413所示。当“时”个位计数器输入端CP来到第10个触发脉冲时,该计数器归零,进位端Q D5向“时”十位计数器输出进位信号。当第24个“时”脉冲(来自“分”计数器输出得进位信号)到来时,十位计数器得状态为0010,个位计数器得状态位0100,此时“时”十位计数器得Q B6与“时”个位计数器得Q C5输出为1。两者相与后送到两计数器得清零端R0A与R0B,通过74LS90内部得R0A与R0B与非后清零,完成24进制计数。同理可构成60进制计数器。 CP 来自分计数器 的进位信号 图1413 24进制计数器 (3)译码显示电路 译码驱动器采用8421 BCD码七段译码驱动器74LS48,显示器采用共阴极数七段数码显示器,有关74LS48与七段显示器得使用方法前面已经作了介绍,这里不再赘述。 (4)校时电路 当数字电子钟出现走时误差时,需要对时间进行校准。实现校时电路得方法很多,如图1414所示电路即可作为时计数器或分计数器得校时电路。 图1414 校时电路 现设用该电路作为分计数器得校时电路,图中采用RS触发器作为无抖动开关。通过开关K得接入位置,可以选择就是将“1 Hz信号”还就是将“来自秒计数器得进位信号”送至分计数器得CP端。当开关K置于B端时,RS触发器得输出、,“来自秒计数器得进位信号”被送至分计数器得CP端,分计数器正常工作;需要校正分计数器时,将开关K置于A端,这时RS触发器得输出、,“1 Hz信号”被送至分计数器得CP端,分计数器在“1Hz信号”得作用下快速计数,直至正确得时间,再将开关K置于B端,达到了校准时间得目得。 (5)整点报时电路 电路得设计要求在差10 s为整点时开始每隔1 s鸣叫一次,每次持续时间为1 s,共鸣叫5次,前4次为低音500 Hz,最后一次为高音1 kHz。因为分计数器与秒计数器从59分51秒计数到59分59秒得过程中,只有秒个位计数器计数,分十位、分个位、秒十位计数器得状态不变,分别为Q D4Q C4Q B4Q A4=0101,Q D3Q C3Q B3Q A3=1001,Q D2Q C2Q B2Q A2=0101,所以Q C4=Q A4=Q D3=Q A3=Q C2=Q A2=1不变。设Y1=Q C4Q A4Q D3Q A3Q C2Q A2,又因为在51、53、55、57秒时Q A1=1,Q D1=0,输出500Hz信号f2;59秒时Q A1=1,Q D1=1,输出1kHz信号f1,由此可写出整点报时电路得逻辑表达式为:

数电模电计数器课设-最终版

目录 数字电子设计部分 1.课程设计的目的与作用 (1) 1.1课程设计的目的 (1) 1.2课程设计的作用 (1) 2.六进制同步减法计数器(无效状011 100) (1) 2.1基本原理 (1) 2.2系统设计框图 (2) 3.六进制异步加法计数器(无效状011 100) (3) 3.1基本原理 (3) 3.2设计的总体框图 (5) 3.3 运行结果 (5) 4.全加器 (5) 5.设计总结和体会 (6) 6.参考文献 (6) 模拟电子设计部分 1.课程设计的目的与作用 (7) 1.1课程设计的目的 (7) 1.2课程设计的作用 (7) 2.设计任务、及所用multisim软件环境介绍 (7) 2.1设计任务 (7) 2.2multisim软件环境的介绍 (7) 3.电路模型的建立,理论分析与计算及仿真结果总结 (8) 3.1反向比例输入电路 (8) 3.2同相比例输入电路 (10) 3.3差分比例输入运算电路 (12) 3.4单限比较器 (15) 3.5滞回比较器 (17) 3.6双限比较器 (19) 4.设计总结和体会 (24) 5.参考文献 (24)

数字电子部分 一、课程设计目的与作用 1.1课程设计目的 1.学会使用数字电子实验平台 2.熟悉各个芯片和电路的接法 3.熟练掌握设计触发器的算法 4.懂得基本数字电子电路的功能,会分析,会设计 二、六进制同步减法计数器(无效状态011 100) 2.1基本原理 状态转换图: 000<---001<---010<---101<---110<---111<---000

Q0n 1.2系统设计框图

数电课程设计题目汇总

数电课程设计题目选 一、设计并制作一数字式温度计 〖基本要求〗采用电桥法,利用PT~100热电阻对0~200℃测温范围进行测量并送LED 数码管显示,要求测量分辨率为0.1℃,数据测量间隔时间为5秒。 〖提高要求〗1)针对不同的铂热电阻讨论不同的温度信号测量办法 2)利用电路对测温电路进行非线性校正,提高测温精度(电路非线性校正和EPROM 查表法非线性校正两种方法) 3)讨论误差的形成因素和减少误差的措施 4)进行简单的温度开关控制 〖参考原理框图〗系统参考原理框图如下: 〖主要参考元器件〗 MCl4433(1),LM324(1),七段数码管(4),CD4511(1),MC1413(1),铂热电阻使用普通 精密电位器代替。 二、十二小时电子钟 〖基本要求〗利用基本数字电路制作小时电子钟,要求显示时分秒;并能实现校时和校分的功能。 〖提高要求〗1)针对影响电子钟走时精度的因素提出改进方案 2)增加日期显示 3)实现倒计时功能 4)整点报时(非语音报时) 5)定时功能 〖参考原理框图〗: 〖主要参考元器件〗:CD4060,74LS74,74LS161,74LS248 电桥电路 供电电路 时钟电路 放大电路 A/D 转换 显示电路 时校 分校 秒校 24进制时计数器 单次或连续的脉冲 60进制分计数器 分频器 60进制秒计数器 译码电路 晶体振荡器 显示电路 译码电路 显示电路 显示电路 译码电路

三、电平感觉检测仪 〖基本要求〗:采用光电式摇晃传感器,其检测范围为±90℃,每摇晃一度传感器就输出一个脉冲信号给计数单元,在给定时间内测量到的脉冲数目就能表明该人的电平感觉,测试时采用头戴式传感器、闭上双目,单脚立地:保持静止,开始测试。定时时间为1分钟 〖提高要求〗 〖参考原理、框图〗: 〖主要参考元器件〗CD4060,555,74LS74 四、便携式快速心律计 基本要求〗利用数字电路制作一便携式快速心律计,用于在较短时间内测量脉搏跳动速率:并使用LED 显示。 〖提高要求〗1)提高测量精度的方法 2)设计能比较准确测量1S 内心跳的电路 〖参考原理框图〗 〖主要参考元器件〗CD4060,4528,4518;4511,14526 五、数字式定时开关 〖基本要求〗设计并制作一数字式定时开关,此开关采用BCD 拨盘预置开关时间,其最大定时时间为9秒,计数时采用倒计时的方式并通过一位LED 数码管显示。此开关预置时间以后通过另一按钮控 制并进行倒计时,当时间显示为0时,开关发出开关信号,输出端呈现高电平,开关处于开态,再按按钮时,倒计时又开始。计时时间到驱动扬声器报警。 〖提高要求〗 l)输出部分加远距离(100m)继电器进行控制 2)延长定时时间 3)探讨提高定时精度的方法 〖参考原理框图〗 外部操作开关 〖主要参考元器〗:CC4511,CC14522,CD4060 传感器 基准时间产生电路 倍频器 放大与整形 控制电路 计数译码 显 示电 路 秒脉冲发生器 计时器 译码显示 控制电路 报警电路

相关文档
最新文档