现代集成电路5 离子注入

现代集成电路5 离子注入

福州大学集成电路应用实验一

《集成电路应用》课程实验实验一 4053门电路综合实验 学院:物理与信息工程学院 专业: 电子信息工程 年级: 2015级 姓名:张桢 学号: 指导老师:许志猛

实验一 4053门电路综合实验 一、实验目的: 1.掌握当前广泛使用的74/HC/HCT系列CMOS集成电路、包括门电路、反相 器、施密特触发器与非门等电路在振荡、整形、逻辑等方向的应用。 2.掌握4053的逻辑功能,并学会如何用4053设计门电路。 3.掌握多谐振荡器的设计原理,设计和实现一个多谐振荡器,学会选取和 计算元件参数。 二、元件和仪器: 1.CD4053三2通道数字控制模拟开关 2.万用表 3.示波器 4.电阻、电容 三、实验原理: 1.CD4053三2通道数字控制模拟开关 CD4053是三2通道数字控制模拟开关,有三个独立的数字控制输入端A、B、C和INH输入,具有低导通阻抗和低的截止漏电流。幅值为4.5~20V的数字信号可控制峰-峰值至20V的数字信号。CD4053的管脚图和功能表如下所示 4053引脚图

4053的8种逻辑功能 CD4053真值表 根据CD4053的逻辑功能,可以由CD4053由4053电路构成如下图所示8种逻辑门(反相器与非门或非门、反相器、三态门、RS 触发器、——RS 触发器、异或门等)。 输入状态 接通通道

]) 2)(()(ln[ T DD T DD T DD T V V V V V V V RC T -+--=2.多谐振荡器的设计 非门作为一个开关倒相器件,可用以构成各种脉冲波形的产生电路。电路的基本工作原理是利用电容器的充放电,当输入电压达到与非门的阈值电压VT 时,门的输出状态即发生变化。因此,电路输出的脉冲波形参数直接取决于电路中阻容元件的数值。 可以利用反相器设计出如下图所示的多谐振荡器 这样的多谐振荡器输出的信号周期计算公式为: 当R S ≈2R 时,若:VT=0.5VDD ,对于HC 和HCU 型器件,有 T ≈2.2RC 对于HCT 型器件,有 T ≈2.4RC 四、实验内容: 1. 验证CD4053的逻辑功能,用4053设计门电路,并验证其逻辑功能: (1)根据实验原理设计如下的反相器电路图: CD4053构成反相器电路

电子科技大学集成电路原理实验CMOS模拟集成电路设计与仿真王向展

实验报告 课程名称:集成电路原理 实验名称: CMOS模拟集成电路设计与仿真 小组成员: 实验地点:科技实验大楼606 实验时间: 2017年6月12日 2017年6月12日 微电子与固体电子学院

一、实验名称:CMOS模拟集成电路设计与仿真 二、实验学时:4 三、实验原理 1、转换速率(SR):也称压摆率,单位是V/μs。运放接成闭环条件下,将一个阶跃信号输入到运放的输入端,从运放的输出端测得运放的输出上升速率。 2、开环增益:当放大器中没有加入负反馈电路时的放大增益称为开环增益。 3、增益带宽积:放大器带宽和带宽增益的乘积,即运放增益下降为1时所对应的频率。 4、相位裕度:使得增益降为1时对应的频率点的相位与-180相位的差值。 5、输入共模范围:在差分放大电路中,二个输入端所加的是大小相等,极性相同的输入信号叫共模信号,此信号的范围叫共模输入信号范围。 6、输出电压摆幅:一般指输出电压最大值和最小值的差。 图 1两级共源CMOS运放电路图 实验所用原理图如图1所示。图中有多个电流镜结构,M1、M2构成源耦合对,做差分输入;M3、M4构成电流镜做M1、M2的有源负载;M5、M8构成电流镜提供恒流源;M8、M9为偏置电路提供偏置。M6、M7为二级放大电路,Cc为引入的米勒补偿电容。 其中主要技术指标与电路的电气参数及几何尺寸的关系:

转换速率:SR=I5 I I 第一级增益:I I1=?I I2 I II2+I II4=?2I I1 I5(I2+I3) 第二级增益:I I2=?I I6 I II6+I II7=?2I I6 I6(I6+I7) 单位增益带宽:GB=I I2 I I 输出级极点:I2=?I I6 I I 零点:I1=I I6 I I 正CMR:I II,III=I II?√5 I3 ?|I II3|(III)+I II1,III 负CMR:I II,III=√I5 I1+I II5,饱和 +I II1,III+I II 饱和电压:I II,饱和=√2I II I 功耗:I IIII=(I8+I5+I7)(I II+I II) 四、实验目的 本实验是基于微电子技术应用背景和《集成电路原理与设计》课程设置及其特点而设置,为IC设计性实验。其目的在于: 根据实验任务要求,综合运用课程所学知识自主完成相应的模拟集成电路设计,掌握基本的IC设计技巧。 学习并掌握国际流行的EDA仿真软件Cadence的使用方法,并进行电路的模拟仿真。 五、实验内容 1、根据设计指标要求,针对CMOS两级共源运放结构,分析计算各器件尺寸。 2、电路的仿真与分析,重点进行直流工作点、交流AC和瞬态Trans分析,能熟练掌握各种分析的参数设置方法与仿真结果的查看方法。 3、电路性能的优化与器件参数调试,要求达到预定的技术指标。

集成电路设计答案 王志功版

第一章 1.按规模划分,集成电路的发展已经经历了哪几代?它的发展遵循了一条业界著名的定律,请说出是什么定律? 晶体管-分立元件-SSI-MSI-LSI-VLSI-ULSI-GSI-SOC。MOORE定律 2.什么是无生产线集成电路设计?列出无生产线集成电路设计的特点和环境。 拥有设计人才和技术,但不拥有生产线。特点:电路设计,工艺制造,封装分立运行。 环境:IC产业生产能力剩余,人们需要更多的功能芯片设计 3.多项目晶圆(MPW)技术的特点是什么?对发展集成电路设计有什么意义? MPW:把几到几十种工艺上兼容的芯片拼装到一个宏芯片上,然后以步行的方式排列到一到多个晶圆上。意义:降低成本。 4.集成电路设计需要哪四个方面的知识? 系统,电路,工具,工艺方面的知识 第二章 1.为什么硅材料在集成电路技术中起着举足轻重的作用? 原材料来源丰富,技术成熟,硅基产品价格低廉 2.GaAs和InP材料各有哪些特点? P10,11 3.怎样的条件下金属与半导体形成欧姆接触?怎样的条件下金属与半导体形成肖特基接触? 接触区半导体重掺杂可实现欧姆接触,金属与掺杂半导体接触形成肖特基接触 4.说出多晶硅在CMOS工艺中的作用。P13 5.列出你知道的异质半导体材料系统。 GaAs/AlGaAs, InP/ InGaAs, Si/SiGe, 6.SOI材料是怎样形成的,有什么特点? SOI绝缘体上硅,可以通过氧隔离或者晶片粘结技术完成。特点:电极与衬底之间寄生电容大大减少,器件速度更快,功率更低 7. 肖特基接触和欧姆型接触各有什么特点? 肖特基接触:阻挡层具有类似PN结的伏安特性。欧姆型接触:载流子可以容易地利用量子遂穿效应相应自由传输。 8. 简述双极型晶体管和MOS晶体管的工作原理。P19,21 第三章 1.写出晶体外延的意义,列出三种外延生长方法,并比较各自的优缺点。 意义:用同质材料形成具有不同掺杂种类及浓度而具有不同性能的晶体层。外延方法:液态生长,气相外延生长,金属有机物气相外延生长 2.写出掩膜在IC制造过程中的作用,比较整版掩膜和单片掩膜的区别,列举三种掩膜的制造方法。P28,29 3.写出光刻的作用,光刻有哪两种曝光方式?作用:把掩膜上的图形转换成晶圆上的器件结构。曝光方式有接触与非接触两种。 4.X射线制版和直接电子束直写技术替代光刻技术有什么优缺点? X 射线(X-ray)具有比可见光短得多的波长,可用来制作更高分辨率的掩膜版。电子

集成电路实训报告

集成电路课程设计 目录 1 .引言 (1) 1.1 课题目的与意义 (1) 1.2 设计题目与要求 (1) 1.3 Tanner软件的介绍 (2) 2反相器设计 (2) 2.1 S-edit设计反相器 (2) 2.2反相器的瞬时分析 (3) 2.3反相器直流分析 (4) 3 L-edit画PMOS和NMOS布局图 (5) 3.1 L-edit的使用 (5) 3.2 使用L-Edit画PMOS布局图 (5) 3.3 使用L-Edit画NMOS布局图 (6) 3.4 使用L-Edit画基板节点元件 (7) 3.5 L-edit画反相器布局并作瞬时和直流分析 (7) 3.6使用LVS对比反相器 (8) 3.7关于功耗和延迟方面的计算 (9) 4.仿真注意事项 (11) 5 总结 (12) 参考文献 (13)

1 .引言 集成电路产业是信息产业的核心,在全球集成电路产业重心转移的背景下,中国集成电路产业取得了前所唯有的发展,为信息产业向纵深发展奠定了一定的基础。在全球集成电路竞争中,中国国产集成电路仍然处于较弱的地位,一方面供给无法满足中国电子整机产品的需求,另一方面则是自主创新能力不足。同时,也应看到中国集成电路产业发展的希望与契机,作为全球集成电路产业增长最快的地区和全球最具发展潜力的市场,伴随市场需求的扩张、产业规模的升级、技术水准的提高,该看到中国集成电路产业发展的希望。作为全球第三大集成电路市场中国占了20%的份额,而且产业发展速度和市场潜力在全球首屈一指。如今,由于我国集成电路产业还处于发展初期,富有经验的中高层工程,技术人才、设计人才及企业管理运营人才缺口很大。我国集成电路产业对专业设计、制造、营销、管理人才的需求量是25万一30万人,但目前国内这方面的人才数量远远不够。人才短缺,将成为制约我国集成电路产业快速发展的另一个瓶颈。然而,这也是作为一位学生,也是我们的机会,是我们为国家的集成电路信息安全做贡献的机会。让我们国家的集成电路不受外国掣肘。 1.1 课题目的与意义 本课程设计是《集成电路分析与设计基础》的实践课程,其主要目的是使学生在熟悉集成电路制造技术、半导体器件原理和集成电路分析与设计基础上,训练综合运用已掌握的知识,利用集成电路设计软件,初步熟悉和掌握集成电路芯片系统设计→电路设计及模拟→版图设计→版图验证等正向设计方法。掌握微电子技术人员所需要的基本理论和技能,日后从事集成电路设计工作打下基础。 通过此课程设计使学生对集成电路设计有了初步的认识认识并熟练使用集成电路相关软件,熟练集成电路设计的技能及规则等方面有重要意义。 1.2 设计题目与要求 1设计时使用的工艺及设计规则:MOSIS:mhp-s5; 2根据所用的工艺,选取合理的模型库; 3选用以lambda(λ)为单位的设计规则; 4全手工、层次化设计版图; 5达到指导书提出的设计指标要求。

集成电路实验 王向展

电子科技大学 实验报告 二、实验项目名称:CMOS模拟集成电路设计与仿真 三、实验地点:211大楼606房间 四、实验学时:4 五、实验目的: (1)综合运用课程所学知识自主完成相应的模拟集成电路版图设计,掌握基本的IC版图布局布线技巧。 (2)学习并掌握国际流行的EDA仿真软件Cadence的使用方法,并进行版图的的设计与验证 六、实验原理: IC设计一般规则: ①根据用途要求,确定系统总体方案 ②根据电路的指标和工作条件,确定电路结构与类型,然后通过模拟计算, 决定电路中各器件的参数(包括电参数、几何参数等),EDA软件进行模拟仿真。 ③根据电路特点选择适当的工艺,再按电路中各器件的参数要求,确定满足 这些参数的工艺参数、工艺流程和工艺条件。 ④按电路设计和确定的工艺流程,把电路中有源器件、阻容元件及互连以一 定的规则布置在硅片上,绘制出相互套合的版图,以供制作各次光刻掩模版用。 ⑤生成PG带制作掩模版 ⑥工艺流片 ⑦测试,划片封装

实验模拟基于Cadence 平台的电路设计与仿真 七、实验内容: 1、UNIX操作系统常用命令的使用,Cadence EDA仿真环境的调用。 2、设计一个运算放大器电路,要求其增益大于60dB, 相位裕度大于45o, 功耗小于10mW。 3、根据设计指标要求,选取、确定适合的电路结构,并进行计算分析。 4、电路的仿真与分析,重点进行直流工作点、交流AC分析、瞬态Trans 分析、建立时间小信号特性和压摆率大信号分析,能熟练掌握各种分析的参数设置方法。 5、电路性能的优化与器件参数调试,要求达到预定的技术指标。 6、整理仿真数据与曲线图表,撰写并提交实验报告。 八、实验仪器与器材 (1)工作站或微机终端一台 (2)EDA仿真软件 1套 九、实验结果: 1、根据实验指导书熟悉UNIX操作系统常用命令的使用,掌握Cadence EDA仿真环境的调用。 2、根据设计指标要求,设计出如下图所示的电路结构。并进行计算分析,确定其中各器件的参数。 4、电路的仿真与分析,重点进行直流工作点、交流AC分析、瞬态Trans分析,能熟练掌握各种分 ①增益与频率之间的关系、相位裕度与频率之间关系图如下所示: ②输入、输出关系曲线 十、实验结果计算与分析: 从幅频特性曲线图像中可以读出,电路的增益A V=59dB略小于设计所要求的60dB;找出增益接近于0时候的截止频率为102.4MHz,对应到下方相频特性曲线图像中为-130o,则相位裕度为180o-130o=50o,

《集成电路原理及应用》课后答案..

集成电路原理及应用(第2版)谭博学苗汇静主编 课后习题答案 第二章 模拟集成电路的线性应用 对 A 2 :由"虚断”和"虚短”得 i 3=i 4, v 2_=v 2 - =u i2, 代入 U o1 得U 。哙呱…), 2.11 求图3所示电路的增益A f ,并说明该电路完成什么功能 则 u i1 = U 01 R 1 R 2 R 2 R 1 ,即 u o-(1 K )u i1 , 则 U 。1 -U i2 R 3 U i2 -U o R 4 R 3 因两个输入信号均从同相端输入, 所以输入阻抗比较高。该电路为高输入阻抗的差动放 2.9 试分析图1所示电路是什么电路,有何特点?图中设 解:第一级运放为同相放大器。对 A 1 :由"虚断”和"虚短”得 i 1 =i 2, v^=v 1. =u , 1)U i2 - U o1

解:该电路由两个集成运放构成, A1为主放大器接成反相运算放大器, A2为辅助放大器, A2也接成反相放大器,利用 A2对A1构成正反馈,是整个电路向信号源索取的电流极少。 主放大器A 1 :由“虚断”和“虚短”得 R i U i I i u i 01 u 。 R 2 R i R 2 u i u i 辅助放大器A2的电压放大倍数: o2 u o2 2R 1 该电路为自举电路, U i U i U i R i I i I i - I R 2 R 2 U i U i u i2 u 。 R 2 目的是提高电路的输入电阻。 2R 得 U^2U i RR

当 R = R 1 时,R t 2.12 求图4所示电路输出电压与输入电压的表达式,并说明该电路完成什么 功能 i1 -u o1 ,即u o1 =-u i1 。A 1为倒相器 解:对A 1 :由

集成电路设计实验报告

集成电路设计 实验报告 时间:2011年12月

实验一原理图设计 一、实验目的 1.学会使用Unix操作系统 2.学会使用CADENCE的SCHEMA TIC COMPOSOR软件 二:实验内容 使用schematic软件,设计出D触发器,设置好参数。 二、实验步骤 1、在桌面上点击Xstart图标 2、在User name:一栏中填入用户名,在Host:中填入IP地址,在Password:一栏中填入 用户密码,在protocol:中选择telnet类型 3、点击菜单上的Run!,即可进入该用户unix界面 4、系统中用户名为“test9”,密码为test123456 5、在命令行中(提示符后,如:test22>)键入以下命令 icfb&↙(回车键),其中& 表示后台工作,调出Cadence软件。 出现的主窗口所示: 6、建立库(library):窗口分Library和Technology File两部分。Library部分有Name和Directory 两项,分别输入要建立的Library的名称和路径。如果只建立进行SPICE模拟的线路图,Technology部分选择Don’t need a techfile选项。如果在库中要创立掩模版或其它的物理数据(即要建立除了schematic外的一些view),则须选择Compile a new techfile(建立新的techfile)或Attach to an existing techfile(使用原有的techfile)。 7、建立单元文件(cell):在Library Name中选择存放新文件的库,在Cell Name中输 入名称,然后在Tool选项中选择Composer-Schematic工具(进行SPICE模拟),在View Name中就会自动填上相应的View Name—schematic。当然在Tool工具中还有很多别的

电子科技大学-集成电路原理实验-集成电路版图识别与提取-王向展

实验报告

一、实验名称:集成电路版图识别与提取 二、实验学时:4 三、实验原理 本实验重点放在版图识别、电路拓扑提取、电路功能分析三大模块, 1、仔细观察芯片图形总体的布局布线,找出电源线、地线、输入端、 输出端及其对应的压焊点。 2、判定此IC采用P阱还是N阱工艺;进行版图中元器件的辨认, 要求分出MOS管、多晶硅电阻和MOS电容。 3、根据以上的判别依据,提取芯片上图形所表示的电路连接拓扑结

构;复查,加以修正;完成电路的提取,并分析电路功能,应用Visio 或Cadence等软件对电路进行复原。 六、实验仪器设备 (1)工作站或微机终端 1台 (2)芯片显微图片 1张 图1 1、观察芯片布局明确V DD、GND、V in1、V in 2、V out、Test的压焊点。 2、根据V DD连接的有源区可以判断为PMOS管,根据比较环数推测出 此IC采用了P阱工艺。

3、确定P阱工艺后,从输入端开始逐一对元器件及其连线进行辨认。从输入端出来,直接看到在输入压焊点到输入管之间有一段多晶硅,但又无连线的“交叉”出现,排除了“过桥”的可能,初步判断为电阻,再根据其后的二极管可以判定为是与二极管组成保护电路最终与输入管相接,可断定是输入端起限流作用的电阻。其中绿色圈标识有大片的多晶硅覆盖扩散区的区域判断为MOS电容。

图2 2、可见,实验图片为一个采用CMOS P阱工艺制造的放大器电路,该电路为典型的差分放大输入级。由电路图可以看出,器件连接方式正确,逻辑上能完成确定的功能,说明提取结果是正确的。 3、整个实验过程是对IC逆向设计的尝试,IC逆向设计是IC设计的一条关键技术之一,一方面可借鉴并消化吸收先进、富有创意的版图 步提取; 由将二者提取的电路结合所学知识修改、完善,并最终确定电路; 由用Cadence 软件搭建出所提取的电路,并完善布局; 最后,由二者共同完成该实验报告。

专用集成电路实验报告

实验3/4 反相器的特性

: 学号: 班级: 指导老师: 1、实验目的 1.了解反相器的电路结构和版图结构。 2.理解反相器的开关阈值。 3.理解反相器延时与电源和器件尺寸的关系。 4.理解反相器链的延时与器件尺寸的关系。 2、实验容 1.画出一个双阱工艺反相器的版图示意图(不严格要求尺寸和比例关系,画出阱、扩散区、 多晶栅极、栅接触孔、源极漏极接触孔、金属即可)。 2.一个0.25um工艺的反相器,NMOS管的尺寸为L = 0.250um,W = 0.375um;PMOS管的尺 寸为L = 0.250um,W = 1.125um。

a) 电源为2.5V ,从0到2.5V 扫描输入电压vin ,观察输出电压vout ,找到开关阈值; b) 仅修改PMOS 管的W = 2.750um ,找到此时的开关阈值; c) 恢复PMOS 管尺寸W = 1.125um ,电源分别为2.5V 、1.5V 、1V ,观察pHL t 和pLH t (50% 到50%); d) 修改PMOS 管的W = 0.750um ,电源为2.5V ,观察pHL t 和pLH t (50%到50%)。 3. 四个反相器级联,所有的NMOS 管的尺寸为L = 0.250um ,W = 0.375um ;所有的PMOS 管 的L = 0.250um ;电源为2.5V 。 a) 第一个反相器的PMOS 管W = 1.125um ,第二个反相器的PMOS 管W = 1.875um ,第三 个反相器的PMOS 管W = 3.000um ,第四个反相器的PMOS 管W = 5.250um ; b) 四个反相器的PMOS 管均为W = 1.125um ; c) 四个反相器的PMOS 管均为W = 1.875um ; d) 四个反相器的PMOS 管均为W = 3.000um ; 观察四种情况下反相器链的pHL t 和pLH t 。 一、双阱工艺反相器的版图示意图 双阱工艺反相器的版图示意图如图1.1所示

集成电路综合实验报告

集成电路设计综合实验 题目:集成电路设计综合实验 班级:微电子学1201 姓名: 学号:

集成电路设计综合实验报告 一、实验目的 1、培养从版图提取电路的能力 2、学习版图设计的方法和技巧 3、复习和巩固基本的数字单元电路设计 4、学习并掌握集成电路设计流程 二、实验内容 1. 反向提取给定电路模块(如下图1所示),要求画出电路原理图,分析出其所完成的逻辑功能,并进行仿真验证;再画出该电路的版图,完成DRC验证。 图1 1.1 查阅相关资料,反向提取给定电路模块,并且将其整理、合理布局。 1.2 建立自己的library和Schematic View(电路图如下图2所示)。 图2 1.3 进行仿真验证,并分析其所完成的逻辑功能(仿真波形如下图3所示)。

图3 由仿真波形分析其功能为D锁存器。 锁存器:对脉冲电平敏感,在时钟脉冲的电平作用下改变状态。锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,当锁存器处于使能状态时,输出才会随着数据输入发生变化。简单地说,它有两个输入,分别是一个有效信号EN,一个输入数据信号DATA_IN,它有一个输出Q,它的功能就是在EN有效的时候把DATA_IN的值传给Q,也就是锁存的过程。 只有在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号。其中使能端A 加入CP信号,C为数据信号。输出控制信号为0时,锁存器的数据通过三态门进行输出。所谓锁存器,就是输出端的状态不会随输入端的状态变化而变化,仅在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号到来时才改变。锁存,就是把信号暂存以维持某种电平状态。 1.4 生成Symbol测试电路如下(图4所示) 图4

北邮模拟CMOS集成电路实验报告

模拟CMOS集成电路实验报告 专业: 班级: 姓名: 学号:

实验一:共源级放大器性能分析 一、实验目的 1、掌握synopsys软件启动和电路原理图(schematic)设计输入方法; 2、掌握使用synopsys电路仿真软件custom designer对原理图进行电路特性仿真; 3、输入共源级放大器电路并对其进行DC、AC分析,绘制曲线; 4、深入理解共源级放大器的工作原理以及mos管参数的改变对放大器性能的影响 二、实验要求 1、启动synopsys,建立库及Cellview文件。 2、输入共源级放大器电路图。 3、设置仿真环境。 4、仿真并查看仿真结果,绘制曲线。 三、实验结果 1、原理图

2、幅频特性曲线 3、相频特性曲线

四、实验结果分析 器件参数: NMOS管的宽长比为10,栅源之间所接电容1pF,Rd=10K。 实验结果: 输入交流电源电压为1V,所得增益为12dB。 由仿真结果有:gm=496u,R=10k,所以增益Av=496*10/1000=4.96=13.91 dB 可见,实际增益大于理论增益。 补充:电阻改为1k后 实验二:差分放大器设计 一、实验目的 1.掌握差分放大器的设计方法; 2.掌握差分放大器的调试与性能指标的测试方法。

二、实验要求 1. 确定放大电路;2.确定静态工作点Q ; 3.确定电路其他参数。 4.电压放大倍数大于20dB ,尽量增大GBW ,设计差分放大器; 5.对所设计电路调试; 6.对电路性能指标进行测试仿真,并对测量结果进行验算和误差分析。 三、实验原理 平衡态下的小信号差动电压增益A V 为: β1= β2= β=μn C OX (W/L) 四、实验结果 W/L R 5 10 20 30 20K 14.3dB 15.6dB 16.8dB 17.3dB 30K 16.8dB 19dB 20.2dB 20.8dB 40K 20.1dB 20.9dB 21.7dB 22.4dB R 的增加,增益也增加。但从仿真特性曲线我们可以知道,这会限制带宽的特性,W/L 增大时,带宽会下降。为保证带宽, 选取W/L=5,R=40K 的情况下的数值,带宽约为1.18G ,可以符合系统的功能特性,实验结果见下图。 SS V SS D D I A =βI R =2β()R 2

《集成电路原理及应用》课后答案

集成电路原理及应用(第3版) 谭博学 苗汇静 主编 课后习题答案 第二章 模拟集成电路的线性应用 2.9 试分析图1所示电路是什么电路,有何特点?图中设 3 4 21R R R R =。 (图1) 解:第一级运放为同相放大器。对A 1:由“虚断”和“虚短”得 i 1=i 2,v -1=v +1=u 1i , 则u 1i = 1211R R R u o +,即11 21)1(i o u R R u +=, 对A 2:由“虚断”和“虚短”得 i 3=i 4,v -2=v +2=u 2i , 则 4 2321R u u R u u o i i o -=-,即1342 34)1(o i o u R R u R R u -+= 代入u 1o 得))(1( 123 4 i i o u u R R u -+=, 因两个输入信号均从同相端输入,所以输入阻抗比较高。该电路为高输入阻抗的差动放大器。 2.11 求图3所示电路的增益A f ,并说明该电路完成什么功能。

解:该电路由两个集成运放构成,A1为主放大器接成反相运算放大器,A2为辅助放大器,A2也接成反相放大器,利用A2对A1构成正反馈,是整个电路向信号源索取的电流极少。 主放大器A 1:由“虚断”和“虚短”得 2 1R u R u o i -= ,则A f =121o o i i u u R u u R ===- 辅助放大器A2的电压放大倍数:221222 2o o VF i o u u R A u u R = ==- 该电路为自举电路,目的是提高电路的输入电阻。 由1i i i i U U R I I I = = - 由 12i o U U R R =-和321 2o U U R R =-得32i U U = 所以 1i i i U U I R R = - 因此1 1 i i i U RR R I R R = = - 当1R R =时,i R →∞,1I I = 2.12 求图4所示电路输出电压与输入电压的表达式,并说明该电路完成什么功能。

集成电路封装实验手册

实验一有限元分析软件Ansys 8.0 的认知 一、实验目的: ANSYS有限元软件包是一个多用途的有限元法,其主要的分析功能包括结构分析、非线性分析、热分析、电磁场分析、电场分析、流体分析、耦合场分析。结构分析用于计算那些载荷作用于结构或部件上所引起的位移、应力、应变和力。热分析用于计算一个系统或部件的温度分布及其它热物理参数,如热量的获取或损失、温度梯度、热流密度等。通过本实验,让学习学会如何运用Ansys软件进行MCM组件技术的热-结构分析。 二、实验内容 有限元分析过程分建模、计算和后处理三个阶段。建模是对实物形状和工况条件抽象为有限元分析的计算模型;计算是由分析程序控制并在计算机上完成的对模型的计算;后处理则是对计算结果进行的各种处理和研究。实验的具体内容主要包括:建立有限元模型、施加载荷、求解与后处理。 三、实验报告要求: 1、按照实验报告册中要求具体填写; 2、实验预习报告部分的实验注意事项填写该实验的一些特殊要求和特殊规定等之类; 3、“实验过程及数据记录”一项中详细填写使用Ansys建模的具体流程可以以流程或1、 2、3、4的顺序记录; 4、实验结果分析简要说明Ansys软件的应用流程; 5、实验总结中主要填写通过该实验所学到的方法和体会。

实验二倒装焊焊点热-结构数值模拟 一、实验目的: 焊点的热疲劳失效(可靠性)是电子封装领域的关键问题之一。电子器件在封装及服役条件下,由于功率耗散和环境温度的变化,因材料的热膨胀失配在SnPb焊点内产生交变的应力和应变,导致焊点的热疲劳失效。 由于BGA封装中的焊点的几何尺寸很小,用一般的实验方法难以对热循环过程中焊点的应力、应变进行实时检测。理论方法(如有限元分析方法)可以对复杂加载条件下焊点中的应力、应变分布及其历史进行详尽的描述,是评价焊点可靠性的重要途径。 二、实验内容及要求: 了解倒装焊的基本结构,并通过软件仿真对其热-结构进行数值模拟。运用ANSYS 有限元软件对球栅阵列(BGA)封装中复合SnPb 焊点的应力、应变的分布进行有限元模拟,观察SnPb 焊料的蠕变行为和应力松弛现象。 三、建模要求和相关材料特性参数: 二维建模;模型结构分3层:最上层板为硅芯片,中间层为PbSn焊点,焊点下为焊盘,最下层为基板层。其几何尺寸示例如下:芯片尺寸为9mm×0.8mm,焊点尺寸为直径0.89mm,基板尺寸为10mm×0.5mm,实际建模时各部分尺寸大小应与示例芯片尺寸大小数量级一致;焊点数为4~10左右;芯片正常工作温度50-100摄氏度之间均可,环境温度为20摄氏度。 材料参数: 四、实验报告要求: 1、按照实验报告册中要求具体填写; 2、实验预习报告部分的实验注意事项填写该实验的一些特殊要求和特殊规定等之类;

集成电路设计答案-王志功版

- 第一章 1.按规模划分,集成电路的发展已经经历了哪几代它的发展遵循了一条业界著名的定律,请说出是什么定律 晶体管-分立元件-SSI-MSI-LSI-VLSI-ULSI-GSI-SOC。MOORE定律 2.什么是无生产线集成电路设计列出无生产线集成电路设计的特点和环境。 拥有设计人才和技术,但不拥有生产线。特点:电路设计,工艺制造,封装分立运行。 环境:IC产业生产能力剩余,人们需要更多的功能芯片设计 3.多项目晶圆(MPW)技术的特点是什么对发展集成电路设计有什么意义MPW:把几到几十种工艺上兼容的芯片拼装到一个宏芯片上,然后以步行的方式排列到一到多个晶圆上。意义:降低成本。 4.集成电路设计需要哪四个方面的知识 [ 系统,电路,工具,工艺方面的知识 第二章 1.为什么硅材料在集成电路技术中起着举足轻重的作用 原材料来源丰富,技术成熟,硅基产品价格低廉 2.GaAs和InP材料各有哪些特点P10,11 3.怎样的条件下金属与半导体形成欧姆接触怎样的条件下金属与半导体形成肖特基接触 接触区半导体重掺杂可实现欧姆接触,金属与掺杂半导体接触形成肖特基接触 ` 4.说出多晶硅在CMOS工艺中的作用。P13 5.列出你知道的异质半导体材料系统。 GaAs/AlGaAs, InP/ InGaAs, Si/SiGe, 6.SOI材料是怎样形成的,有什么特点 SOI绝缘体上硅,可以通过氧隔离或者晶片粘结技术完成。特点:电极与衬底之间寄生电容大大减少,器件速度更快,功率更低 7. 肖特基接触和欧姆型接触各有什么特点 肖特基接触:阻挡层具有类似PN结的伏安特性。欧姆型接触:载流子可以容易地利用量子遂穿效应相应自由传输。 8. 简述双极型晶体管和MOS晶体管的工作原理。P19,21 ! 第三章 1.写出晶体外延的意义,列出三种外延生长方法,并比较各自的优缺点。 意义:用同质材料形成具有不同掺杂种类及浓度而具有不同性能的晶体层。外延方法:液态生长,气相外延生长,金属有机物气相外延生长 2.写出掩膜在IC制造过程中的作用,比较整版掩膜和单片掩膜的区别,列举三种掩膜的制造方法。P28,29

数字集成电路设计实验报告

哈尔滨理工大学数字集成电路设计实验报告 学院:应用科学学院 专业班级:电科12 - 1班 学号:32 姓名:周龙 指导教师:刘倩 2015年5月20日

实验一、反相器版图设计 1.实验目的 1)、熟悉mos晶体管版图结构及绘制步骤; 2)、熟悉反相器版图结构及版图仿真; 2. 实验内容 1)绘制PMOS布局图; 2)绘制NMOS布局图; 3)绘制反相器布局图并仿真; 3. 实验步骤 1、绘制PMOS布局图: (1) 绘制N Well图层;(2) 绘制Active图层; (3) 绘制P Select图层; (4) 绘制Poly图层; (5) 绘制Active Contact图层;(6) 绘制Metal1图层; (7) 设计规则检查;(8) 检查错误; (9) 修改错误; (10)截面观察; 2、绘制NMOS布局图: (1) 新增NMOS组件;(2) 编辑NMOS组件;(3) 设计导览; 3、绘制反相器布局图: (1) 取代设定;(2) 编辑组件;(3) 坐标设定;(4) 复制组件;(5) 引用nmos组件;(6) 引用pmos组件;(7) 设计规则检查;(8) 新增PMOS基板节点组件;(9) 编辑PMOS基板节点组件;(10) 新增NMOS基板接触点; (11) 编辑NMOS基板节点组件;(12) 引用Basecontactp组件;(13) 引用Basecontactn 组件;(14) 连接闸极Poly;(15) 连接汲极;(16) 绘制电源线;(17) 标出Vdd 与GND节点;(18) 连接电源与接触点;(19) 加入输入端口;(20) 加入输出端口;(21) 更改组件名称;(22) 将布局图转化成T-Spice文件;(23) T-Spice 模拟; 4. 实验结果 nmos版图

《集成电路设计原理》试卷及答案

电科《集成电路原理》期末考试试卷 一、填空题 1.(1分) 年,第一次观测到了具有放大作用的晶体管。 2 . ( 2 分 ) 摩 尔 定 律 是 指 。 3. 集 成 电 路 按 工 作 原 理 来 分 可 分 为 、 、 。 4.(4分)光刻的工艺过程有底膜处理、涂胶、前烘、 、 、 、 和去胶。 5. ( 4 分 ) MOSFET 可 以 分 为 、 、 、 四种基本类型。 6.(3分)影响MOSFET 阈值电压的因素有: 、 以及 。 7.(2分)在CMOS 反相器中,V in ,V out 分别作为PMOS 和NMOS 的 和 ; 作为PMOS 的源极和体端, 作为NMOS 的源极和体端。 8.(2分)CMOS 逻辑电路的功耗可以分为 和 。 9.(3分)下图的传输门阵列中5DD V V =,各管的阈值电压1T V V =,电路中各节点的初始电压为0,如果不考虑衬偏效应,则各输出节点的输出电压Y 1= V ,Y 2= V ,Y 3= V 。 DD 1 3 2 10.(6分)写出下列电路输出信号的逻辑表达式:Y 1= ;Y 2= ;Y 3= 。 A B Y 1 A B 2 3

二、画图题:(共12分) =+的电路图,要求使用的1.(6分)画出由静态CMOS电路实现逻辑关系Y ABD CD MOS管最少。 2.(6分)用动态电路级联实现逻辑功能Y ABC =,画出其相应的电路图。 三、简答题:(每小题5分,共20分) 1.简单说明n阱CMOS的制作工艺流程,n阱的作用是什么? 2.场区氧化的作用是什么,采用LOCOS工艺有什么缺点,更好的隔离方法是什么?

NE555时基集成电路实验

NE555时基集成电路实验 2012年04月01日11:41 来源:本站整理作者:灰色天空我要评论(0) 1.常用电子元器件简介 (1)名称·电路符号·文字符号 (2)555时基集成电路 555时基集成电路是数字集成电路,是由21个晶体三极管、4个晶体二极管和16个电阻组成的定时器,有分压器、比较器、触发器和放电器等功能的电路。它具有成本低、易使用、适应面广、驱动电流大和一定的负载能力。在电子制作中只需经过简单调试,就可以做成多种实用的各种小电路,远远优于三极管电路。 555时基电路国内外的型号很多,如国外产品有:NE555、LM555、A555和CA555等;国内型号有5GI555、SL555和FX555等。它们的内部结构和管脚序号都相同,因此,可以直接互相代换。但要注意,并不是所有的带555数字的集成块都是时基集成电路,如MMV555、AD555和AHD555等都不是时基集成电路。 常见的555时基集成电路为塑料双列直插式封装(见图5-36),正面印有555字样,左下角为脚①,管脚号按逆时针方向排列。

(图5-36) 555时基集成电路各管脚的作用:脚①是公共地端为负极;脚②为低触发端TR,低于1/3电源电压以下时即导通;脚③是输出端V,电流可达2000mA;脚④是强制复位端MR,不用可与电源正极相连或悬空;脚⑤是用来调节比较器的基准电压,简称控制端VC,不用时可悬空,或通过0.01μF电容器接地;脚⑥为高触发端TH,也称阈值端,高于2/3电源电压发上时即截止;脚⑦是放电端DIS;脚⑧是电源正极VC。 555时基集成电路的主要参数为(以NE555为例)电源电压4.5~16V。 输出驱动电流为200毫安。 作定时器使用时,定时精度为1%。 作振荡使用时,输出的脉冲的最高频率可达500千赫。 使用时,驱动电流若大于上述电流时,在脚③输出端加装扩展电流的电路,如加一三极管放大。 (3)音乐片集成电路 它同模仿动物叫声和人语言集成电路都是模拟集成电路,采用软包装,即将硅芯片用黑的环氧树脂封装在一块小的印刷电路板上。 由于集成电路内不宜制作电感、电容及可调电阻等元器件,为了发挥它的作用,必须外接一些元器件。 注意:集成电路片在焊接时不能带电操作,只有焊接后,检查无误,才能接通电源。 2.555时基集成电路基础电路实验 为了便于利用较少的元器件,而达到基本学会555时基集成电路的制作和应用能力,我们筛选了以下元器件供大家实验参考(以下电路图5-37中不再标出数值)。

实验报告芯片解剖实验

电子科技大学学院 (微电子技术系) 实验报告书 课程名称:芯片解剖实验 学号: 姓名: 教师:

年6月28日 实验一去塑胶芯片的封装 实验时间:同组人员: 一、实验目的 1.了解集成电路封装知识,集成电路封装类型。 2.了解集成电路工艺流程。 3.掌握化学去封装的方法。 二、实验仪器设备 1:烧杯,镊子,电炉。 2:发烟硝酸,弄硫酸,芯片。 3:超纯水等其他设备。 三、实验原理和容 实验原理: 1..传统封装:塑料封装、瓷封装 (1)塑料封装(环氧树脂聚合物) 双列直插DIP、单列直插SIP、双列表面安装式封装SOP、四边形扁平封装QFP 具有J型管脚的塑料电极芯片载体PLCC、小外形J引线塑料封装SOJ (2)瓷封装 具有气密性好,高可靠性或者大功率 A.耐熔瓷(三氧化二铝和适当玻璃浆料):针栅阵列PGA、瓷扁平封装FPG B.薄层瓷:无引线瓷封装LCCC

2..集成电路工艺 (1)标准双极性工艺 (2)CMOS工艺 (3)BiCMOS工艺 3.去封装 1.瓷封装 一般用刀片划开。 2. 塑料封装 化学方法腐蚀,沸煮。 (1)发烟硝酸煮(小火)20~30分钟 (2)浓硫酸沸煮30~50分钟 实验容: 去塑胶芯片的封装 四、实验步骤 1.打开抽风柜电源,打开抽风柜。 2.将要去封装的芯片(去掉引脚)放入有柄石英烧杯中。 3.带上塑胶手套,在药品台上去浓硝酸。向石英烧杯中注入适量浓硝酸。(操作时一定注意安全) 4.将石英烧杯放到电炉上加热,记录加热时间。(注意:火不要太大) 5.观察烧杯中的变化,并做好记录。 6.取出去封装的芯片并清洗芯片,在显微镜下观察腐蚀效果。 7.等完成腐蚀后,对废液进行处理。

集成电路CAD实验报告

集成电路CAD实验报告 姓名:席悦学号:2120503018 班级:微电子31班 一、实验目的: 通过设计一个简单的缓冲器的原理图到最终的版图,对Cadence的Composer,Analog Design Environment,Virtuoso,Assura等各大功能模块逐一了解,使学生掌握模拟集成电路设计的总体流程,为日后的学习、工作打下坚实的基础。 二、实验项目: 1.缓冲器的设计: 在配置好Cadence之后,进入Cadence的CIW界面。 为设计一个完整的缓冲器,首先需要设计一个反相器。利用Cadence的电路编辑工具Composer-Schematic绘制如下图所示的inverter电路: 之后利用此inverter Schematic 构建如下图所示的inverter Symbol:

我们知道,一个Buffer是由两个Inverter组成,利用前边构建Inverter Schematic的方法,画出缓冲器Buffer的电路原理图:

其中的反相器直接调用之前做好的Inverter的Symbol。同样的,利用此缓冲器的原理图生成相应的缓冲器Symbol图: 之后构建仿真电路,对所设计的Buffer电路进行电路仿真(ADE)。仿真电路图如下:

在仿真过程中,我们分别采用tt,ss,ff工艺角进行仿真,得到了如下的波形图和仿真数据: ①tt工艺角: 其相应数据参数为: Marker,/I5/V1,/OUT,/IN M0:Y,900mV,900mV,900mV x[0],111.36ps,778.31ps,50ps x[1],5.1063ns,5.9952ns,5.05ns ②ss工艺角: 其相应数据参数为: Marker,/I5/V1,/OUT,/IN

集成电路培养方案

西安邮电学院电子工程学院 本科集成电路设计与集成系统专业培养方案 学科:工学---电气信息专业:集成电路设计与集成系统(Engineering---Electric Information)(Integrated Circuit Design & Integrated System)专业代码:080615w 授予学位:工学学士 一、专业培养指导思想 遵循党和国家的教育方针,体现“两化融合”的时代精神,把握高等教育教学改革发展的规律与趋势,树立现代教育思想与观念,结合社会需求和学校实际,按照“打好基础、加强实践,拓宽专业、优化课程、提高能力”的原则,适应社会主义现代化建设和信息领域发展需要,德、智、体、美全面发展,具有良好的道德修养、科学文化素质、创新精神、敬业精神、社会责任感以及坚实的数理基础、外语能力和电子技术应用能力,系统地掌握专业领域的基本理论和基本知识,受到严格的科学实验训练和科学研究训练,能够在集成电路设计与集成系统领域,特别是通信专用集成电路与系统领域从事科学研究、产品开发、教学和管理等方面工作的高素质应用型人才。 二、专业培养目标 本专业学生的知识、能力、素质主要有:①较宽厚的自然科学理论基础知识、电路与系统的学科专业知识、必要的人文社会学科知识和良好的外语基础;②较强的集成电路设计和技术创新能力,具有通信、计算机、信号处理等相关学科领域的系统知识及其综合运用知识解决问题的能力;③较强的科学研究和工程实践能力,总结实践经验发现新知识的能力,掌握电子设计自动化(EDA)工具的应用;④掌握资料查询的基本方法和撰写科学论文的能力,了解本专业领域的理论前沿和发展动态;⑤良好的与人沟通和交流的能力,协同工作与组织能力;⑥良好的思想道德修养、职业素养、身心素质。毕业学生能够从事通信集成电路设计与集成系统的设计、开发、应用、教学和管理工作,成为具有奉献精神、创新意识和实践能力的高级应用型人才。 三、学制与学分 学制四年,毕业生应修最低学分198学分,其中必修课110学分,限选课36学分,任选课10学分,集中实践环节34学分,课外科技与实践活动8学分。

相关文档
最新文档