EDA作业的参考答案

合集下载

EDA 技术实用教程 课后作业答案

EDA 技术实用教程 课后作业答案

作业3-6module Mux3_1(a1,a2,a3,s0,s1,outy); input a1,a2,a3,s0,s1;output outy;reg outy;reg temp;always @(a2,a3,s0)beginif(s0 == 1'b0)temp = a2;elsetemp = a3;endalways @(a1, temp,s1)beginif(s1 == 1'b0)outy = a1;elseouty = temp;endendmodule作业3-7 半减器module H_suber(x,y,diff,s_out);input x,y;output diff,s_out;reg s_out;wire diff;assign diff = x ^ y;always @(x,y)beginif(x < y)s_out = 1'b1;elses_out = 1'b0;endendmodule全减器module F_suber(x,y,sub_in,diffr,sub_out); input x,y,sub_in;output diffr,sub_out;wire diffr,sub_out;wire H_diff,H_sout,s_out;assign sub_out = s_out || H_sout;H_suber U1 (.x(x),.y(y),.diff(H_diff),.s_out(H_sout));H_suber U2 (.x(H_diff),.y(sub_in),.diff(diffr),.s_out(s_out));Endmodule8位全减器module Bit8_suber(X,Y,Sub_in,Diff,Sub_out);input[7:0] X,Y;input Sub_in;output[7:0] Diff;output Sub_out;wire[7:0] Diff;wire Sub_out;wire[6:0] sub_out;F_suber U1(.x(X[0]),.y(Y[0]),.sub_in(Sub_in),.diffr(Diff[0]),.sub_out(sub_out[0]));F_suber U2(.x(X[1]),.y(Y[1]),.sub_in(sub_out[0]),.diffr(Diff[1]),.sub_out(sub_out[1])); F_suber U3(.x(X[2]),.y(Y[2]),.sub_in(sub_out[1]),.diffr(Diff[2]),.sub_out(sub_out[2])); F_suber U4(.x(X[3]),.y(Y[3]),.sub_in(sub_out[2]),.diffr(Diff[3]),.sub_out(sub_out[3])); F_suber U5(.x(X[4]),.y(Y[4]),.sub_in(sub_out[3]),.diffr(Diff[4]),.sub_out(sub_out[4])); F_suber U6(.x(X[5]),.y(Y[5]),.sub_in(sub_out[4]),.diffr(Diff[5]),.sub_out(sub_out[5])); F_suber U7(.x(X[6]),.y(Y[6]),.sub_in(sub_out[5]),.diffr(Diff[6]),.sub_out(sub_out[6])); F_suber U8(.x(X[7]),.y(Y[7]),.sub_in(sub_out[6]),.diffr(Diff[7]),.sub_out(Sub_out)); Endmodule作业3-13Amodule DFF_A(D,EN,CLK,RST,Q,Q1);input D,EN,CLK,RST;output Q,Q1;reg Q;wire Q1;wire D_temp;assign D_temp = D && EN;assign Q1 = (~D_temp)||RST;always @(negedge RST or posedge CLK)beginif(!RST)Q <= 1'b0;elseif(EN)Q <= D;elseQ <= Q;endmoduleBmodule DFF_B (A,B,C,D,Y);input A,B,C,D;output Y;reg Y;wire temp1,temp2,temp3;assign temp1 = A || B;assign temp2 = C && D;assign temp3 = temp1 ^ temp2; always @(A,temp1,temp3)beginif(temp1)Y = temp3;elseY = A;endendmoduleCmodule DFF_C(RST,D,CLK,Q,DOUT); input RST,D,CLK;output Q,DOUT;reg Q,DOUT;reg D_temp1;wire D_temp2;assign D_temp2 = D ^ D_temp1; always @(RST,D)beginif(RST)D_temp1 = 1'b0;elseD_temp1 = D;endalways @(posedge CLK)beginQ <= D_temp1;DOUT <= D_temp2;endmoduleDmodule DFF_D(SET,D,CLK,EN,RESET,Q);input SET,D,CLK,EN,RESET;output Q;reg Q;wire SET_temp;assign SET_temp = (~RESET) && SET;always @(posedge CLK or posedge RESET or posedge SET_temp) beginif(RESET)Q <= 1'b0;elseif(SET_temp)Q <= 1'b1;elseif(EN)Q <= D;elseQ <= Q;endendmodule8-2.用Mealy机类型,写出控制ADC0809采样的状态机。

EDA部分习题答案

EDA部分习题答案
?目前被 常用的硬件描述语言有那几种?目前被IEEE采纳 采纳 的有几种? 有哪些特点? 的有几种?VHDL有哪些特点? 有哪些特点 常用硬件描述语言有VHDL、Verilog和ABEL 答:常用硬件描述语言有 、 和 语言。目前被IEEE采用的有 采用的有VHDL、Verilog。 语言。目前被 采用的有 、 。 特点: 特点: 具有很强的行为描述能力。 (1)VHDL具有很强的行为描述能力。 ) 具有很强的行为描述能力 (2) VHDL具有丰富的仿真语句和库函数。 具有丰富的仿真语句和库函数。 具有丰富的仿真语句和库函数 具有类属描述语句和子程序调用功能。 (3)VHDL具有类属描述语句和子程序调用功能。 ) 具有类属描述语句和子程序调用功能
5. BUFFER:输出,输出的信号可以引回内部 :输出, 再使用。 再使用。 INOUT:双向,端口既可以读也可以写。 INOUT:双向,端口既可以读也可以写。
7. (1)信号赋值有延时,变量没有; )信号赋值有延时,变量没有; (2)信号的代入使用 ,变量的代入使用 ; )信号的代入使用<=,变量的代入使用:=; 3)信号在实际的硬件当中有对应的连线, (3)信号在实际的硬件当中有对应的连线,变量 没有; 没有; (4)变量不能将信息带出对它做出定义的当前设 ) 计单元,进程间的通信需通过信号。 计单元,进程间的通信需通过信号。 (5)进程只对信号敏感。 )进程只对信号敏感。
3. 主要由常数、 答:VHDL主要由常数、变量和信号三种数据对象。 主要由常数 变量和信号三种数据对象。 (1)常量是一个恒定不变的值,一旦作了数据类 )常量是一个恒定不变的值, 型的赋值定义后,在程序中不能再改变, 型的赋值定义后,在程序中不能再改变,具有全局 意义。 意义。 常量的定义形式如下: 常量的定义形式如下: CONSTANT 常量名:数据类型﹕=表达式; 常量名:数据类型﹕ 表达式 表达式;

EDA技术及应用习题参考答案

EDA技术及应用习题参考答案

习题参考答案第2章1.可编程只读存储器PROM、可编程逻辑阵列PLA、可编程阵列逻辑PAL、通用阵列逻辑GAL2.EPC型号的存储器3.(1)编程输入(2)编译若编译不成功,需要回到第一步检查编程输入,直到编译成功为止(3)仿真仿真的结果直接反映编程的结果,若结果不正确,也需要返回到第一步,重复前面的过程(4)下载4.FPGA采用SRAM进行功能配置,可重复编程,但系统掉电后,SRAM中的数据丢失。

因此,需在FPGA外加EPROM,将配置数据写入其中,系统每次上电自动将数据引入SRAM中。

CPLD器件一般采用EEPROM存储技术,可重复编程,并且系统掉电后,EEPROM中的数据不会丢失,适于数据的保密。

FPGA器件含有丰富的触发器资源,易于实现时序逻辑,如果要求实现较复杂的组合电路则需要几个CLB结合起来实现。

CPLD的与或阵列结构,使其适于实现大规模的组合功能,但触发器资源相对较少。

5.67.宏单元、PIA、I/O控制块8.MAX7000系列一般采用EEPROM存储技术,可重复编程,并且系统掉电后,EEPROM中的数据不会丢失,适于数据的保密。

但是编写次数有限,编程的速度不快;FLEX10K系列采用SRAM进行功能配置,可重复编程,但系统掉电后,SRAM中的数据丢失。

因此,需在FPGA外加专用配置芯片,将配置数据写入其中,系统每次上电自动将数据引入专用配置芯片中。

第3章1.设计输入、项目编译、仿真和定时分析、编程下载2.(1)原理图输入适合于对系统电路很熟悉的情况或用在对时间特性要求较高的场合;(2)波形图输入适用于时序逻辑和有重复性的逻辑函数;(3)文本输入适用于从逻辑门层次的描述到整个系统的描述。

;(4)层次化输入适用于结构较复杂的系统。

3.优点:(1)支持模块化,底层模块可反复被调用,多个底层模块可由不同的设计者同时使用,提高了设计效率;(2)设计方法比较自由;(3)团队之间的合作方便灵活。

EDA完整版答案

EDA完整版答案

1. 一个项目的输入输出端口是定义在 A 。

A. 实体中B. 结构体中C. 任何位置D. 进程体2. 描述项目具有逻辑功能的是 B 。

A. 实体B. 结构体C. 配置D. 进程3. 关键字ARCHITECTURE定义的是 A 。

A. 结构体B. 进程C. 实体D. 配置4. MAXPLUSII中编译VHDL源程序时要求 C 。

A. 文件名和实体可以不同名B. 文件名和实体名无关C. 文件名和实体名要相同D. 不确定5. 1987标准的VHDL语言对大小写是 D 。

A. 敏感的B. 只能用小写C. 只能用大写D. 不敏感6. VHDL语言中变量定义的位置是 D 。

A. 实体中中任何位置B. 实体中特定位置C. 结构体中任何位置D. 结构体中特定位置7. VHDL语言中信号定义的位置是 D 。

A. 实体中任何位置B. 实体中特定位置C. 结构体中任何位置D. 结构体中特定位置8. 变量是局部量可以写在 B 。

A. 实体中B. 进程中C. 线粒体D. 种子体中9. 变量和信号的描述正确的是 A 。

A. 变量赋值号是:=B. 信号赋值号是:=C. 变量赋值号是<=D. 二者没有区别10. 变量和信号的描述正确的是 B 。

A. 变量可以带出进程B. 信号可以带出进程C. 信号不能带出进程D. 二者没有区别11. 关于VHDL数据类型,正确的是 B 。

A. 数据类型不同不能进行运算B. 数据类型相同才能进行运算C. 数据类型相同或相符就可以运算D. 运算与数据类型无关12. 下面数据中属于实数的是 B 。

A. 4.2B. 3C. …1‟D. “11011”13. 下面数据中属于位矢量的是 D 。

A. 4.2B. 3C. …1‟D. “11011”14. 关于VHDL数据类型,正确的是 B 。

A. 用户不能定义子类型B. 用户可以定义子类型C. 用户可以定义任何类型的数据D. 前面三个答案都是错误的15. 可以不必声明而直接引用的数据类型是 C 。

电子科大20春《EDA技术》在线作业1答案44916

电子科大20春《EDA技术》在线作业1答案44916

电子科大20春《EDA技术》在线作业1红字部分为答案!单选题1.在VHDL中,结构体内部是由()语句组成的。

A.顺序B.并行C.顺序和并行D.任何2.库(LIBRARY)包括哪几大类A.IEEE 库、STD 库、面向ASIC的库、用户定义库B.IEEE 库、STD 库、WORK库、用户定义库C.IEEE 库、STD 库、WORK库、面向ASIC的库、用户定义库D.STD 库、WORK库、面向ASIC的库、用户定义库3.下面哪一条命令是MAXPLUSII在时序仿真时执行加载节点的命令()。

A.file- set project to current fileB.assign-pin/location chipC.node-enter node from SNFD.file-create default symbol4.在元件例化语句中,用_______符号实现名称映射,将例化元件端口声明语句中的信号与PORT MAP ()中的信号名关联起来。

A.=B.:=C.<=D.=>5.EAB中RAM的大小可灵活配置,Altera FLEX 10K 系列器件中的EAB作RAM用时,有哪几种配置模式A.512x8,1024x4,2048x2,4096x1B.256x8,512x4,1024x2,2048x1C.256x4,512x2,1024x1D.256x16,512x8,1024x4,2048x26.下列关于变量的说法正确的是A.变量是一个局部量,它只能在进程和子程序中使用。

B.变量的赋值不是立即发生的。

C.在进程的敏感信号表中,既可以使用信号,也可以使用变量。

D.变量赋值的一般表达式为目标变量名表达式。

7.进程语句的启动条件是A.wait语句或敏感信号量B.wait语句C.敏感信号量D.wait语句或且敏感信号量8.VHDL数据对象有A.常量、变量。

EDA技术课后参考答案(陈炳权_曾庆立)之欧阳歌谷创编

EDA技术课后参考答案(陈炳权_曾庆立)之欧阳歌谷创编

答案由个人做出,可能有不全或错误之处,欢迎大家批评指正。

欧阳歌谷(2021.02.01)第一章1.EDA的英文全称及其中文含义是什么?答:EDA是Electronic Design Automation,其中文含义是“电子设计自动化”。

2.什么叫EDA技术?简述EDA技术的发展历程。

答:EDA技术有狭义和广义之分,狭义EDA技术就是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术,或称为IES/ASIC自动设计技术。

3.简述用EDA技术设计电路的设计流程。

答EDA设计流程包括:设计准备、设计输入、设计处理、设计校验、器件编程、器件测试和设计验证。

4.什么叫”综合”和”网表文件”?答:(A)在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。

(1)从自然语言转换到VHDL 语言算法表示,即自然语言综合。

(2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。

(3)从RTL 级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。

(4)从逻辑门表示转换到版图表示(ASIC 设计),或转换到 FPGA 的配置网表文件,可称为版图综合或结构综合。

综合在电子设计自动化中处于核心地位。

(B)文件是描述电路的连接关系的文件,一般以文本文件的形式存在。

英文为 netlist file格式有cdl, spice, aucdl...等5.从使用的角度来讲,EDA技术主要包括几个方面的内容?这几个方面在整个电子系统的设计中分别起什么作用?答: EDA技术的学习主要应掌握4个方面的内容:①大规模可编程逻辑器件;②硬件描述语言;③软件开发工具;④实验开发系统。

电子科技《EDA技术》在线作业1辅导答案

电子科技《EDA技术》在线作业1辅导答案

电子科技《EDA技术》在线作业1
单选题
一、单选题(共 20 道试题,共 100 分。


1. 根据VHDL语法规则,下面哪个标识符是非法的标识符
A. not—Ack
B. constant
C. FFT_1024_1
D. state0
-----------------选择:B
2. MAX+PLUS的文本文件类型是(后缀名)是
A. *.scf
B. *.vhd
C. *.gdf
D. *.sof
-----------------选择:B
3. 字符串型文字O“1234”的长度为___________。

A. 12
B. 4
C. 8
D. 16
-----------------选择:A
4. 值为“1110”的标准逻辑矢量,进行sla运算后值为____________ 。

A. 1100
B. 1101
C. 1110
D. 1000
-----------------选择:B
5. 一个完整的VHDL程序,至少应包括三个基本组成部分是
A. 实体、子程序、配置
B. 实体、结构体、配置、函数
C. 结构体、状态机、程序包和库
D. 实体、结构体、程序包和库
-----------------选择:D
6. 在元件例化语句中,用_______符号实现名称映射,将例化元件端口声明语句中的信号与PORT MAP()中的信号名关联起来。

A. =
B. :=
C. <=
D. =>
-----------------选择:D
7. 实体说明中包括端口说明,那么端口的模式可分为以下哪几种。

EDA技术习题集及答案

EDA技术习题集及答案

第一章 EDA概述一、填空题1.2000年推出的Pentium 4微处理器芯片的集成度达——万只晶体管。

2.一般把EDA技术的发展分为——、——和——三个阶段。

3.在EDA发展的——阶段,人们只能借助计算机对电路进行模拟、预测,以及辅助进行集成电路版图编辑、印刷电路板(PcB)布局布线等工作。

4.在EDA发展的——阶段,人们可以将计算机作为单点设计工具,并建立各种单元库,开始用计算机将许多单点工具集成在一起使用。

5.EDA设计流程包括——、——、——和——四个步骤。

6.EDA的设计验证包括——、——和——三个过程。

7.EDA的设计输入主要包括——、——和———。

8.文本输入是指采用——进行电路设计的方式。

9.功能仿真是在设计输入完成之后,选择具体器件进行编译之前进行的逻辑功能验证,因此又称为——。

10.时序仿真是在选择了具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为——或——。

11.当前最流行的并成为1EEE标准的硬件描述语言包括————和——。

12.采用PLD进行的数字系统设计,是基于芯片的设计或称之为——的设计。

13.硬件描述语言HDL给PLD和数字系统的设计带来了更新的设计方法和理念,产生了目前最常用的并称之为——的设计法。

14.EDA工具大致可以分为——、——、———、———和——等五个模块。

15.将硬件描述语吉转化为硬件电路的重要工具软件称为——————。

二、单项选择题1.将设计的系统或电路按照EDA开发软件要求的某种形式表示出来,并送入计算机的过程称为( )。

①设计输入②设计输出③仿真④综合2.一般把EDA技术的发展分为( )几个阶段。

①2 ②3 ③4 ④53.AHDL属于( )描述语言。

①普通硬件②行为③高级④低级4.vHDL属于( )描述语言。

①普通硬件②行为③高级④低级5.包括设计编译和检查、逻辑优化和综合、适配和分割、布局和布线,生成编程数据文件等操作的过程称为( )。

[答案][福建师范大学]2021春《EDA技术》在线作业二-1

[答案][福建师范大学]2021春《EDA技术》在线作业二-1

1.常用的集成FPGA/CPLD开发工具有哪些()。

[答案:ABCD]A.MAX+plus IIB.Quartus IIC.ISED.ispLEVER2.EDA技术发展阶段描述正确的是()。

[答案:ABC]A.CAD阶段B.CAE阶段C.EDA阶段D.以上都不对3.状态机常用的编码方式有()。

[答案:ABCD]A.顺序编码B.格雷编码C.约翰逊编码D.一位热码4.基于EDA技术的设计中,通常有两种设计思路()。

[答案:AB]A.自顶向下B.自底向上C.自前向后D.自后向前5.用PLD器件实现设计的优势有哪些()?[答案:ABC]A.周期短B.投入少C.风险小D.对于成熟的设计往往采用PLD6.衡量仿真器性能的重要指标有哪些()。

[答案:ABC]A.仿真速度B.仿真的准确性C.仿真的易用性7.常用的综合工具有哪些()。

[答案:ABC]A.FPGA ExpressB.FPGA compilerC.Synplify Pro8.TOP-down设计一般分为哪几个层次()。

[答案:ABCD]A.系统级B.功能级C.门级D.开关级9.下面哪些是专业提供PLD器件厂商()。

[答案:ABC]A.XilinxB.AlteratticeD.Micsoftware10.综合有哪几种形式()。

[答案:ABC]B.逻辑综合C.将逻辑门表示转换到版图表示11.把适配后生成的编程文件装入到PLD器件中的过程称为下载。

()[答案:A]A.正确B.错误12.Verilog HDL和VHDL目前还都不是IEEE标准。

()[答案:B]A.正确B.错误13.IP核中的软核与生产工艺无关,不涉及物理实现,为后续设计留有很大空间。

()[答案:A]A.正确B.错误14.Verilog HDL中的常量主要有:整数,实数和字符串。

()[答案:A]A.正确B.错误15.在IC设计领域中,IP核一般完成某种功能的设计模块。

()[答案:A]A.正确B.错误16.混合仿真器就是能同时支持Verilog和VHDL的仿真器。

EDA课后习题答案

EDA课后习题答案
1-2 与软件描述语言相比,Verilog有什么特点? 答:Verilog语言的特点: (1)按照设计目的,Verilog程序可以划分为面向仿真和面向综合两类,而 可综合的Verilog程序能分别面向FPGA和ASIC开发两个领域。 (2)能在多个层次上对所设计的系统加以描述,从开关级、门级、寄存器 传输级(RTL)至行为级都可以加以描述。 (3)灵活多样的电路描述风格。
注意:关键字都是小写的。如reg是关键字,但REG不属于关键字,所以可用作普
通标识符。
精选ppt课件
12
2-4 定义以下的变量和常数[7:0] Q1;) (2) 定义一个名字为asg的整数。 ( integen asg; ) (3) 定义参数s1=3’b010,s2=3’b110,s3=3’b011。
形成结构,即用SRAM来构成逻辑函数发生器。一个N输入LUT可以实现N个
输入变量的任何逻辑功能,如N输入“与”、N输入“异或”等。
LE是Cyclone III FPGA器件的最基本的可编程单元,LE主要由一个4输入的
查找表LUT、进位链逻辑、寄存器链逻辑和一个可编程的寄存器构成。
精选ppt课件
7
1-9 为什么说用逻辑门作为衡量逻辑资源大小的最小单元不准确。 答:专业习惯是将OLMC及左侧的可编程与阵列合称一个逻辑宏单元,即标 志PLD器件逻辑资源的最小单元,由此可以认为GAL16V8器件的逻辑资源是 8个逻辑宏单元,而目前最大的FPGA的逻辑资源达数十万个逻辑宏单元。也 有将逻辑门的数量作为衡量逻辑器件资源的最小单元,如某CPLD的资源约 2000门等,但此类划分方法误差较大。
2-5 设“reg[3:0]A; reg[7:0]B; reg[15:0]C;”, (1) 执行赋值语句A<=8B’11011010后,A实际获得赋值是多少?(1010) (2) 执行赋值语句A<=8H’3456后,A实际获得赋值是多少? (6、0110) (3) 执行赋值语句C<=9和C<=-9,C分别获得赋值是什么?什么类型?

eda习题与答案

eda习题与答案

eda习题与答案
EDA习题与答案
探索性数据分析(EDA)是数据科学中的一项重要技能,通过对数据进行可视化和统计分析,可以帮助我们更好地理解数据的特征和规律。

在实际应用中,我们经常会遇到各种EDA习题,下面就让我们一起来看看一些常见的EDA习题及其答案。

1. 习题:对一组学生的考试成绩进行EDA,包括成绩的分布、平均分、及格率等统计指标。

答案:首先,我们可以绘制成绩的直方图,以了解成绩的分布情况。

然后计算平均分和及格率,可以通过描述性统计方法来实现。

最后,我们还可以使用箱线图来展示成绩的离散程度和异常值情况。

2. 习题:分析一组房屋价格数据,探索价格的变化趋势和影响因素。

答案:首先,我们可以绘制价格随时间变化的折线图,以了解价格的趋势。

然后可以使用相关系数分析价格与其他因素(如面积、地段、装修等)的关系。

最后,可以利用散点图和回归分析来探讨价格与各种因素之间的关联性。

3. 习题:分析一组销售数据,探索销售额的季节性变化和产品销售额的贡献比例。

答案:首先,可以使用折线图或柱状图来展示销售额随时间的变化情况,以了解销售额的季节性变化。

然后,可以计算各个产品在总销售额中的贡献比例,以了解产品销售情况的分布。

通过以上习题及其答案的分析,我们可以看到EDA在实际应用中的重要性和灵活性。

通过对数据进行可视化和统计分析,我们可以更好地理解数据的特征和
规律,为后续的数据建模和决策提供有力支持。

因此,掌握好EDA技能对于数据科学从业者来说至关重要。

电子科大20新上《EDA技术》在线作业3_

电子科大20新上《EDA技术》在线作业3_

(单选题)1: 下面哪种语句不是并行语句
A: wait语句
B: process语句
C: 块语句
D: 生成语句
正确答案: A
(单选题)2: VHDL程序基本结构包括
A: 实体、子程序、配置
B: 实体、结构体、配置、函数
C: 结构体、状态机、程序包和库
D: 实体、结构体、程序包和库
正确答案: D
(单选题)3: 仿真是对电路设计的一种()检测方法。

A: 直接的
B: 间接的
C: 同步的
D: 异步的
正确答案: B
(单选题)4: 常用的硬件描述语言有
A: VHDL、Verilog、c语言
B: ABEL、c++
C: VHDL、Verilog、ABEL
D: 汇编语言、ABEL、VHDL
正确答案: C
(单选题)5: 在VHDL中,用语句( )表示检测clock的上升沿。

A: clock'EVENT
B: clock'EVENT AND clock='1'
C: Clok='0'
D: clock'EVENT AND clock='0'
正确答案: B
(单选题)6: VHDL常用的库是
A: IEEE
B: STD
C: WORK
D: PACKAGE
正确答案: A
(单选题)7: 一般把EDA技术的发展分为CAD、CAE和()三个阶段。

A: CAM。

EDA技术课后答案

EDA技术课后答案

EDA技术课后答案EDA习题第一章1.1 EDA的英文全称是什么?EDA的中文含义是什么?答:EDA即Electronic Design Automation的缩写,直译为:电子设计自动化。

1.2 什么叫EDA技术?答:EDA技术有狭义和广义之分,狭义EDA技术就是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术,或称为IES/ASIC自动设计技术。

1.3 利用EDA技术进行电子系统的设计有什么特点?答:①用软件的方式设计硬件;②用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;③设计过程中可用有关软件进行各种仿真;④系统可现场编程,在线升级;⑤整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。

1.4 从使用的角度来讲,EDA技术主要包括几个方面的内容?这几个方面在整个电子系统的设计中分别起什么作用?答:EDA技术的学习主要应掌握四个方面的内容:①大规模可编程逻辑器件;②硬件描述语言;③软件开发工具;④实验开发系统。

其中,硬件描述语言是重点。

对于大规模可编程逻辑器件,主要是了解其分类、基本结构、工作原理、各厂家产品的系列、性能指标以及如何选用,而对于各个产品的具体结构不必研究过细。

对于硬件描述语言,除了掌握基本语法规定外,更重要的是要理解VHDL的三个“精髓”:软件的强数据类型与硬件电路的惟一性、硬件行为的并行性决定了VHDL语言的并行性、软件仿真的顺序性与实际硬件行为的并行性;要掌握系统的分析与建模方法,能够将各种基本语法规定熟练地运用于自己的设计中。

eda期末考试题及答案

eda期末考试题及答案

eda期末考试题及答案EDA期末考试题及答案一、选择题(每题2分,共20分)1. EDA代表的是:A. 电子设计自动化B. 电子数据交换C. 电子文档管理D. 电子设备分析答案:A2. 在EDA中,HDL指的是:A. 高级硬件描述语言B. 硬件描述语言C. 硬件设计语言D. 硬件开发语言答案:B3. 下列哪个不是EDA工具的常见功能?A. 仿真B. 布局C. 布线D. 编程答案:D4. FPGA代表的是:A. 现场可编程门阵列B. 固定可编程门阵列C. 功能可编程门阵列D. 快速可编程门阵列答案:A5. VHDL是一种:A. 编程语言B. 硬件描述语言C. 数据库语言D. 操作系统答案:B6. 以下哪个是EDA软件的典型应用?A. 网页设计B. 游戏开发C. 电子电路设计D. 办公自动化答案:C7. 在EDA设计流程中,综合是指:A. 将设计从逻辑级别转换为门级别B. 将设计从门级别转换为晶体管级别C. 将设计从晶体管级别转换为物理布局D. 将设计从物理布局转换为最终产品答案:A8. 下列哪个是EDA设计中的错误?A. 功能错误B. 语法错误C. 布局错误D. 所有选项都是答案:D9. 以下哪个不是EDA设计中的测试类型?A. 功能测试B. 性能测试C. 压力测试D. 代码测试答案:D10. 在EDA中,后仿真分析是指:A. 在仿真之前进行的分析B. 在仿真之后进行的分析C. 在仿真过程中进行的分析D. 不进行任何分析答案:B二、简答题(每题10分,共30分)1. 请简述EDA在电子设计中的重要性。

答案:EDA(电子设计自动化)是现代电子设计不可或缺的工具,它允许设计师使用软件工具来设计和验证电子系统,从而提高设计效率,减少错误,加快产品上市时间,并且可以设计出更复杂、更高性能的电子系统。

2. 描述一下在EDA设计流程中,仿真的作用是什么?答案:在EDA设计流程中,仿真是一个关键步骤,它允许设计师在实际制造电路之前验证设计的功能和性能。

eda作业题答案

eda作业题答案

2011-2012作业题答案:1-5 IP 在EDA技术的应用和发展中的意义是什么?答:IP是知识产权或知识产权模块的意思,在EDA技术开发中具有十分重要的地位。

它是用于ASIC或FPGA中预先设计好的功能模块。

当前IP核是为了易于重用而按嵌入式应用专门设计的。

IP核按照“四最”目标进行优化的:芯片面积最小,运算速度最快、功耗消耗最低,工艺容差最大“。

同时IP要符合标准。

由于IP是成熟、优化、标准的单元,使用它们可以提高效率减少风险。

1-6 叙述EDA的FPGA/CPLD设计流程,以及涉及的EDA工具及其在整个流程中的作用。

答:EDA的FPGA/CLPD设计流程:图形输入/HDL文本输入、综合、适配,时序仿真与功能仿真,编程下载、硬件测试。

EDA工具:QUARTUS当Entity muxk1 Isport(a1,a2,a3,s0,s1: In std_logic;outy: Out std_logic); End muxk1;Architecture abmux Of muxk1 IsSignal tmp: std_logic;Beginp1: process (a2,a3,s0)BeginCase s0 isWhen …0‟ => tmp <= a2;When …1‟ => tmp <= a3;When others => Null;End Case;End process;p2: Process (a1,tmp,s1)BeginCase s1 isWhen …0‟ => outy <= a1;When …1‟ => outy <= tmp;When others => Null;End Case;End Process;End abmux;Library ieee;Use ieee.std_logic_1164.all;Entity muxk isPort(a1,a2,a3,s0,s1: In Std_logic;outy: Out Std_logic); End muxk;Architecture abmux Of muxk isSignal tmp: Std_logic;Beginp1: Process (a2,a3,s0)BeginIf s0='1' Thentmp <= a2;Elsetmp <= a3;End If;End Process;p2: Process (a1,tmp,s1)BeginIf s1='1' Thenouty <= a1;Elseouty<= tmp;End if;End Process;End abmux;3-12 分别给出图3-20所示的6个RTL图的VHDL 描述,注意其中的D 触发器和锁存器的表述。

EDA练习及答案

EDA练习及答案

EDA技术试卷一、填空题1、某一纯组合电路输入为in1,in2和in3,输入出为out,则该电路描述中always的事件表达式应写为always@(in1,in2,in3 );若某一时序电路由时钟clk信号上升沿触发,同步高电平复位信号rst清零,该电路描述中always的事件表达是应该写为always @( posedge clk )。

2、在模块中对任务进行了定义,调用此任务,写出任务的调用mytast(f,g,m,n,p)。

task mytast; 要求:变量的传递关系如下output x,y; m——a,n——b,p——c,x——f,y——ginput a,b,c;…….endtask3、if(a) out1<=int1; 当a= 1执行out1<=int1else out1<=int2; 当a= 0执行out1<=int24、4’ b1001<<2= 4’b100100 ,4’ b1001>>2= 4’b0010 。

5、下面程序中语句5、6、7、11是并行执行,语句9、10是顺序执行1 module M(……);2 input ……. ;3 output ……;4 reg a,b……;5 always@(……..)6 assign f=c&d;7 always@(……..)8 begin9 a=…….;10 b=…….;end11 mux mux1(out,in0,in1);Endmodule二、选择题:1、下列标示符哪些是合法的(B )A、$timeB、_dateC、8sumD、mux#2、如果线网类型变量说明后未赋值,起缺省值是(D)A、xB、1C、0D、z3、现网中的值被解释为无符号数。

在连续赋值语句中,assign addr[3:0]=-3;addr被赋予的值是(A)A、4’b1101B、4’b0011C、4’bxx11D、4’bzz114、reg[7:0] mema[255:0]正确的赋值是(A)A、mema[5]=3’ d0,B、8’ d0;C、1’ b1;D、mema[5][3:0]=4’ d15、在code模块中参数定义如下,请问top模块中d1模块delay1、delay2的值是( D )module code(x,y); module top;paramee delay1=1,delay2=1; …………….………………………………code #(1,5) d1(x1,y1);endmodule endmoduleA、(1,1)B、(5,5)C、(5,1)D、(1,5)6、“a=4’ b11001,b=4’ bx110”选出正确的运算结果(B)A、a&b=0B、a&&b=1C、b&a=xD、b&&a=x7、时间尺度定义为timescale 10ns/100ps,选择正确答案(C)A、时间精度10nsB、时间单位100psC、时间精度100psD、时间精度不确定8、若a=9,执行$display(“current value=%0b,a=%0d”,a,a)正确显示为(B)A、current value=1001,a=09B、current vale=1001,a=9C、1001,9D、current vale=00…001001,a=99、aways begin #5 clk=0;#10 clk=~clk;end产生的波形(A)A、占空比1/3B、clk=1C、clk=0D、周期为1010、在Verilog中定义了宏名`define sum a+b+c 下面宏名引用正确的是(C)A、out=’sum+d;B、out=sum+d;C、out=`sum+d;D、都正确三、简答题1、always语句和initial语句的关键区别是什么?能否相互嵌套?(5分)always语句是循环语句,initial只执行一次。

EDA作业题及解答

EDA作业题及解答

v(1)、对其进行灵敏度分析(2)、→查找拓扑的得概念:拓扑就是一种结构,过去,拓扑被认为是一种空间数据结构,主要用于保证相互关联的数据能够形成一种一致简洁的结构。

由于面向对象的GIS的发展,人们对拓扑有了新的认识。

地理数据库支持对综合不同要素类型的地理问题进行建模,也支持不同类型的主要关系。

在这种情况下,拓扑就是一个规则和关系的集合,再加上一系列的编辑工具和技术,就能够支持地理数据库更为精确地模拟世界上发现的几何关系。

从要素行为角度考虑理解的拓扑,比从数据结构角度理解的拓扑,能支持更为灵活的几何关系。

它甚至可以支持要素数据集中更多离散类型的要素之间存在拓扑关系。

从这种角度看,拓扑可能仍被用于确保数据形成一个简洁、一致的拓扑结构;此外,它更广泛地是用于确保要素能服从用来定义要素在数据库的作用的关键几何规则。

→在数字电路中冒险和竞争的性质和概念:在组合电路中都是按理想情况进行讨论的,实际上,信号通过门电路甚至是导线,都会产生时延。

其结果是在输出端可能出现不正确的干扰信号,是电路产生错误的输出,这种现象称为“竞争冒险”或“过度噪声”竞争: 在组合逻辑电路中,某个输入变量通过两条或两条以上的途径传到输出端,由于每条途径延迟时间不同,到达输出门的时间就有先有后,这种现象称为竞争。

把不会产生错误输出的竞争的现象称为非临界竞争。

把产生暂时性的或永久性错误输出的竞争现象称为临界竞争。

冒险:是指数字电路中某个瞬间出现了非预期信号的现象。

“1”冒险是由一个变量的原变量和反变量同时加到与门输入端造成的。

“0”冒险是由一个变量的原变量和反变量同时加到一个或门输入端造成的。

在组合逻辑中,由于门的输入信号通路中经过了不同的延时,导致到达该门的时间不一致叫竞争。

产生毛刺叫冒险。

如果布尔式中有相反的信号则可能产生竞争和冒险现象。

解决方法:一是添加布尔式的消去项,二是在芯片外部加电容。

eda试题及答案

eda试题及答案

eda试题及答案一、单选题(每题2分,共10分)1. EDA的全称是:A. 电子数据交换B. 电子设计自动化C. 电子文档自动化D. 电子设备自动化答案:B2. 在EDA中,HDL指的是:A. 高级数据语言B. 硬件描述语言C. 硬件设计语言D. 硬件描述逻辑答案:B3. 以下哪个不是EDA软件的主要功能?A. 逻辑综合B. 布局布线C. 编译代码D. 时序分析答案:C4. 在EDA设计流程中,FPGA指的是:A. 现场可编程逻辑阵列B. 固定可编程逻辑阵列C. 现场可编程门阵列D. 固定可编程门阵列答案:C5. 在EDA设计中,仿真测试的目的是:A. 验证设计的正确性B. 优化设计的性能C. 检查设计的安全性D. 以上都是答案:A二、多选题(每题3分,共15分)6. EDA工具通常包括以下哪些功能?A. 原理图捕获B. 波形仿真C. 代码编译D. 硬件调试答案:ABD7. 在EDA设计中,以下哪些是常见的设计阶段?A. 需求分析B. 逻辑设计C. 物理设计D. 测试验证答案:ABCD8. 以下哪些是EDA设计中常用的硬件描述语言?A. VHDLB. VerilogC. C++D. SystemVerilog9. 在EDA设计流程中,以下哪些是布局布线阶段的任务?A. 确定电路的物理布局B. 优化电路的布线C. 进行时序分析D. 编写测试代码答案:ABC10. 以下哪些是FPGA设计的优势?A. 快速原型验证B. 灵活性高C. 成本较低D. 易于集成答案:ABCD三、判断题(每题2分,共10分)11. EDA工具可以完全替代人工进行电路设计。

()答案:×12. HDL语言可以用来描述数字电路的行为。

()答案:√13. FPGA设计不需要进行时序分析。

()答案:×14. EDA设计流程中,仿真测试是最后一步。

()答案:×15. 逻辑综合是将HDL代码转换为门级网表的过程。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

3.3参考答案(以下答案不是唯一,可以有不同的描述)library ieee;
use ieee.std_logic_1164.all;
entity MUXA is
port(a1,a2,a3 :in std_logic;
s0,s1 :in std_logic;
outy :out std_logic
);
end entity MUXA;
architecture mu of MUXA is
signal tmp :std_logic;
begin
process(a2,a3,s0) begin
case s0 is
when '0'=> tmp<=a2;
when '1'=> tmp<=a3;
when others =>null;
end case;
end process;
process(a1,tmp,s1) begin
case s1 is
when '0'=> outy<=a1;
when '1'=> outy<=tmp;
when others =>null;
end case;
end process;
end architecture mu;
3.7参考答案
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY H_SUBER IS
PORT ( X, Y : IN STD_LOGIC;
DIFF : OUT STD_LOGIC;
S_OUT : OUT STD_LOGIC );
END H_SUBER;
ARCHITECTURE ONE OF H_SUBER IS
SIGNAL XY, DS : STD_LOGIC_VECTOR(1 DOWNTO 0);
BEGIN
XY <= X & Y; DIFF <= DS(1); S_OUT <= DS(0);
PROCESS (XY)
BEGIN
CASE (XY) IS
WHEN "00" => DS <= "00";
WHEN "01" => DS <= "11";
WHEN "10" => DS <= "10";
WHEN "11" => DS <= "00";
END CASE;
END PROCESS;
END ONE;
-- 一位二进制全减器
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY F_SUBER IS
PORT ( X, Y : IN STD_LOGIC;
SUB_IN : IN STD_LOGIC;
DIFFR : OUT STD_LOGIC;
SUB_OUT : OUT STD_LOGIC);
END F_SUBER;
ARCHITECTURE BEHAV OF F_SUBER IS
COMPONENT H_SUBER IS
PORT ( X, Y : IN STD_LOGIC;
DIFF : OUT STD_LOGIC;
S_OUT : OUT STD_LOGIC );
END COMPONENT;
SIGNAL A, B, C : STD_LOGIC; -- 元件之间的连线,DIFF->X, S_OUT->或门, S_OUT->或门BEGIN
U1 : H_SUBER PORT MAP (X => X, Y => Y, DIFF => A, S_OUT => B);
U2 : H_SUBER PORT MAP (X => A, Y => SUB_IN, DIFF => DIFFR, S_OUT => C);
SUB_OUT <= B OR C;
END BEHAV;
-- 8位全减器
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY EX3_5 IS
PORT ( X, Y : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
SUB_IN : IN STD_LOGIC;
DIFFER : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
SUB_OUT : OUT STD_LOGIC);
END EX3_5;
ARCHITECTURE ONE OF EX3_5 IS
COMPONENT F_SUBER IS
PORT ( X, Y : IN STD_LOGIC;
SUB_IN : IN STD_LOGIC;
DIFFR : OUT STD_LOGIC;
SUB_OUT : OUT STD_LOGIC);
END COMPONENT;
SIGNAL TMP : STD_LOGIC_VECTOR(6 DOWNTO 0);
BEGIN
U1 : F_SUBER PORT MAP (X => X(0), Y => Y(0), SUB_IN => SUB_IN, DIFFR => DIFFER(0), SUB_OUT => TMP(0));
U2 : F_SUBER PORT MAP (X => X(1), Y => Y(1), SUB_IN => TMP(0), DIFFR => DIFFER(1), SUB_OUT => TMP(1));
U3 : F_SUBER PORT MAP (X => X(2), Y => Y(2), SUB_IN => TMP(1), DIFFR => DIFFER(2), SUB_OUT => TMP(2));
U4 : F_SUBER PORT MAP (X => X(3), Y => Y(3), SUB_IN => TMP(2), DIFFR => DIFFER(3), SUB_OUT => TMP(3));
U5 : F_SUBER PORT MAP (X => X(4), Y => Y(4), SUB_IN => TMP(3), DIFFR => DIFFER(4), SUB_OUT => TMP(4));
U6 : F_SUBER PORT MAP (X => X(5), Y => Y(5), SUB_IN => TMP(4), DIFFR => DIFFER(5), SUB_OUT => TMP(5));
U7 : F_SUBER PORT MAP (X => X(6), Y => Y(6), SUB_IN => TMP(5), DIFFR => DIFFER(6), SUB_OUT => TMP(6));
U8 : F_SUBER PORT MAP (X => X(7), Y => Y(7), SUB_IN => TMP(6), DIFFR => DIFFER(7), SUB_OUT => SUB_OUT);
END ONE;。

相关文档
最新文档