849考试科目名称:数字电路与信号系统

849考试科目名称:数字电路与信号系统
849考试科目名称:数字电路与信号系统

杭州电子科技大学

全国硕士研究生入学考试业务课考试大纲

考试科目名称:数字电路与信号系统科目代码:849 数字电路部分

一、数字与编码

1、数制变换:二进制、八进制、十六进制与十进制的整数和小数转换。

2、数的表示形式:有符号数和无符号数的运算、处理;原码、反码和补码表示方法和性质。

3、常见编码:常用8421BCD码、余3码和格雷码等性质和特点。

二、逻辑门功能及其电路特性

1、CMOS门电路外部特性:输入、输出和传输特性,阈值电平和低功耗特性。

2、CMOS逻辑门基本结构与工作原理。

3、特殊门电路:三态门、OC/OD门、CMOS传输门的特性及应用。

三、逻辑函数运算规则及化简

1、逻辑基本概念:与或非代数系统的定义、性质。

2、逻辑函数的表述方法和形式:最大项、最小项,“与或式”和“或与式”转换。

3、逻辑代数运算规则:常用的逻辑运算定律和公式,反函数和对偶函数变换。

4、逻辑证明:逻辑表达式变换和推导、证明。

5、逻辑化简:公式法和卡诺图化简逻辑函数,一次降维卡诺图的变换。

四、逻辑电路设计与分析

1、组合逻辑电路分析:采用门电路构成的组合电路以及采用编码器、译码器、数据选择器、数据分配器、加法器和比较器等中规模组合集成电路构成的组合逻辑电路分析系。

2、组合逻辑电路设计:采用门电路设计组合逻辑电路;采用译码器或数据选择器设计组合逻辑电路。

3、中规模组合集成电路芯片的应用。

4、广义译码器的概念。

五、触发器及含触发器的PLD

1、常见触发器特性:基本RS触发器、电平型D锁存器、边沿型D触发器、边沿型JK触发器、T和T’触发器的功能和特性方程。

2、触发器转换:不同触发器的相互转换。

3、触发器的应用。

六、时序逻辑电路的分析与设计

1、时序电路特点与表达形式:时序电路特点、时序电路状态转换表、状态图和时序图;

2、寄存器:并行寄存器与移位寄存器。

3、时序电路分析:采用触发器构成的同步和异步时序电路分析、采用集成同步计数器、集成异步计数器和移位寄存器构成的时序电路分析。

4、同步时序电路设计:采用触发器设计计数器和分频器、序列检测器和序列发生器;采用中规模集成计数器设计任意进制计数器和分频器;采用移位寄存器设计移存型计数器和序列发生器等。

5、同步计数器应用。

七、半导体存储器及其应用

1、存储器分类和性能指标:存储器分类、性能指标和存储容量计算。

2、SRAM和ROM的扩展方法。

八、D/A与A/D转换器及其应用

1、D/A转换器:D/A转换器分类、指标参数;二进制权电阻网络D/A转换器和倒T型电阻网络D/A转换器特点和原理。

2、A/D转换器:A/D转换器分类、指标参数;并行比较式A/D转换器特点和原理。

3、A/D和D/A转换器的应用。

参考书目:

1.《数字电子技术基础》(第二版),潘松等编,科学出版社, 2014年。

2.《数字电子技术基础》(第五版),阎石主编,高等教育出版社,2015年。

信号系统部分

一、概论

1、掌握信号的描述和分类:信号的基本周期,信号的能量和功率的计算;常用信号的函数和图形表示,并能进行函数和图形间的转换。

2、掌握常用信号间的关系:阶跃信号和冲激信号,正弦信号与复指数信号。

3、掌握信号的基本运算,包括尺度变换、时移、反褶、微积分等。

4、掌握信号分解的思想,特别是连续信号的脉冲分解。

5、掌握系统的分类;能判断系统的类型,特别是线性时不变系统的判断和其特性。

6、掌握根据微分方程绘制方框图的方法。

二、连续时间系统的时域分析

1、掌握常用电路的微分方程建立方法;

2、掌握时域经典分析法求解微分方程的解。

3、掌握冲激平衡法求解从-0到+0状态的转换。

4、掌握零输入响应和零状态响应的定义及计算。

5、掌握冲激响应和阶跃响应的定义及计算,掌握阶跃响应与冲激响应的关系。

6、掌握卷积的定义和性质,并能利用卷积计算零状态响应。

7、掌握用算子表示微分方程的方法。

三、傅里叶变换

1、掌握周期信号三角形式和指数形式傅里叶级数展开的物理意义。

2、掌握周期信号的单边和双边频谱的绘制。

3、掌握典型周期信号(周期矩形,冲激串)傅里叶级数的展开式,掌握函数的对称性与傅里叶级数系数的关系。

4、掌握傅里叶变换的定义和典型非周期信号的傅里叶变换。掌握重要的傅里叶变换性质(对称性,时移特性,频移特性,微分性,卷积特性)。

5、掌握频域系统函数的定义、用频域系统函数求系统的零状态响应。

6、掌握无失真传输对系统的时域和频域的要求。

7、掌握信号的抽样与恢复,掌握抽样定理。

四、拉普拉斯变换

1、掌握拉普拉斯变换的定义和收敛域,掌握拉普拉斯变换与傅里叶变换的关系。

2、掌握拉普拉斯变换的基本性质,尤其是单边拉普拉斯变换的性质。

3、掌握拉普拉斯正反变换的计算。

4、掌握LTI连续系统的拉氏变换求解和电路的s域分析法。

5、掌握系统函数在系统分析中的意义及求取,了解由系统函数的零极点分布决定时域特性,掌握系统稳定性的判断。

五、离散时间系统的时域分析

1、典型离散时间序列的函数表达和作图;

2、离散时间信号的分类与运算;

3、离散时间系统的数学模型及求解;单位样值响应;

4、离散卷积和的定义,性质与计算等。

六、离散时间信号与系统的Z变换分析

1、掌握z变换的定义、典型序列的z变换,z变换的收敛域。

2、掌握z变换的性质,尤其是单边z变换的性质。

3、掌握z反变换的计算,尤其是部分分式展开求z反变换。

4、掌握利用z变换解LTI离散系统,包括零输入响应、零状态响应和完全响应。

5、掌握离散系统的系统函数及其求取方法,掌握离散系统的稳定性、因果性的判断,了解离散时间系统的频率响应。

七、系统的状态变量分析

1、掌握信号流图的概念及梅森公式;

2、连续时间系统状态方程的建立;

3、离散时间系统状态方程的建立。

考试题型: 选择题、填空题、作图题、计算题

参考书目:《信号与系统》(第二版),郑君里等编,高等教育出版社,

2000年5第二版。

数字电路与系统分析第一章习题答案

数字电路与系统习题参考答案 南京邮电学院电子工程系 2003/12

习题答案 1.1将下列各式写成按权展开式: (352.6)10=3×102+5×101+2×100+6×10-1 (101.101)2=1×22+1×20+1×2-1+1×2-3 (54.6)8=5×81+54×80+6×8-1 (13A.4F)16=1×162+3×161+10×160+4×16-1+15×16-2 1.2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。 解:略 1.3二进制数00000000~11111111和0000000000~1111111111分别可以代表多少个数? 解:分别代表28=256和210=1024个数。 1.4 将下列个数分别转换成十进制数:(1111101000)2,(1750)8,(3E8)16 解:(1111101000)2=(1000)10 (1750)8=(1000)10 (3E8)16=(1000)10 1.5将下列各数分别转换为二进制数:(210)8,(136)10,(88)16 解:结果都为:(10001000)2 1.6 将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16 解:结果都为(77)8 1.7 将下列个数分别转换成十六进制数:(11111111)2,(377)8,(255)10 解:结果都为(FF)16 1.8 转换下列各数,要求转换后保持原精度: 解:(1.125)10=(1.0010000000)10——小数点后至少取10位 (0010 1011 0010)2421BCD=(11111100)2 ——先将2421BCD码转换成十进制数(252)10,再转换成二进制数。 (0110.1010)余3循环BCD码=(1.1110)2 ——余3循环BCD码中的1和0没有权值意义,因此先转换成十进制数(1.9)10,得出原精度为10-1,转换的二进制的小数位k≥3.3,因此至少取4位。 1.9 用下列代码表示(123)10,(1011.01)2: 解:(1)8421BCD码: (123)10=(0001 0010 0011)8421BCD (1011.01)2=(11.25)10=(0001 0001.0010 0101)8421BCD (2)余3 BCD码

数字电路与系统设计课后习题答案

(此文档为word格式,下载后您可任意编辑修改!) 1.1将下列各式写成按权展开式: (352.6)10=3×102+5×101+2×100+6×10-1 (101.101)2=1×22+1×20+1×2-1+1×2-3 (54.6)8=5×81+54×80+6×8-1 (13A.4F)16=1×162+3×161+10×160+4×16-1+15×16-2 1.2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。 解:略 解:分别代表28=256和210=1024个数。 (1750)8=(1000)10 (3E8)16=(1000)10 1.5将下列各数分别转换为二进制数:(210)8,(136)10,(88)16 1.6将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16 解:结果都为(77)8 解:结果都为(FF)16 1.8转换下列各数,要求转换后保持原精度: (0110.1010)余3循环BCD码=(1.1110)2 1.9用下列代码表示(123)10,(1011.01)2: 解:(1)8421BCD码: (123)10=(0001 0010 0011)8421BCD (1011.01)2=(11.25)10=(0001 0001.0010 0101)8421BCD (2)余3 BCD码 (123)10=(0100 0101 0110)余3BCD (1011.01)2=(11.25)10=(0100 0100.0101 1000)余3BCD (1)按二进制运算规律求A+B,A-B,C×D,C÷D, (2)将A、B、C、D转换成十进制数后,求A+B,A-B,C×D,C÷D,并将结果与(1)进行比较。 A-B=(101011)2=(43)10 C÷D=(1110)2=(14)10 (2)A+B=(90)10+(47)10=(137)10 A-B=(90)10-(47)10=(43)10 C×D=(84)10×(6)10=(504)10 C÷D=(84)10÷(6)10=(14)10 两种算法结果相同。

大工《数字电路与系统》课程考试模拟试卷A答案

大连理工大学网络教育学院 《数字电路与系统》课程考试模拟试卷答案 考试形式:闭卷试卷类型:A 一、单项选择题(本大题共6小题,每小题3分,共18分) 1.B 2.C 3.C 4.B 5.A 6.C 二、填空题(本大题共10空,每空2分,共20分) 1.(1) 213 (2) 12.04 (3) 40D (4) 10101001.1100 2. 三、化简题(本大题共3小题,每小题6分,共18分) =++ 1.答:F XYZ XY XY Z =+(3分) XY XY =(3分) Y 2.答:填卡诺图,圈1,得到最简与或表达式。

(3分) Y B AD ACD ∴ =++ (3分) 3.证明:0A ⊕ 00A A =+ (3分) A = (3分) 四、简答题(本大题共3小题,第1、2小题各7分,第3小题10分,共24分) 1.答: Q Q O O t t S R O O t t (错1处扣1分,图都正确7分满分) 2.答: ln( )DD T T DD T T V V V T RC V V V -+ +- -=- (3分)

3812 2.7 6.3 201010ln()s 12 6.3 2.7 --=????- (2分) 0.267ms 267μs == (2分) 3.答:(1)3 ref f 322821016V 210 V FSR R R ???===? (2分) (2)当123011X X X =时,333 166V 28o V FSR =-=-?=- (2分) 当123110X X X =时,366 1612V 28 o V FSR =-=-?=- (2分) (3),min 311 162V 28 o V FSR =-=-?= (2分) (4)3,max 3217 1614V 28 o V FSR -=-=-?=- (2分) 五、计算题(本大题1小题,共20分) 1.答:(1)分析。分别用变量A 、B 、C 代表三人的表决选择,变量取值可以为1和0,分别代表同意和反对,最后的表决结果为变量Y ,其取值0表示提议未通过,1表示提议通过。 (3分) (2)列出真值表,如下表所示。 五.1题真值表 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 (真值表3分) (3)化简逻辑函数,如下图(a )所示,得到 Y AB BC AC =++ (3分) (4)画出逻辑图,如下图(b )所示。 如果要求用与非门实现该逻辑电路,就应将表达式转换成与非-与非表达式: Y AB BC AC ABBC AC =++= (3分)

如何学习数字信号处理

如何学好数字信号处理课程 《数字信号处理》是相关专业本科生培养中,继《信号与系统》、《通信原理》、《数字逻辑》等课程之后的一门专业技术课。数字信号处理的英文缩写是DSP ,包括两重含义:数字信号处理技术(Digital Signal Processing )和数字信号处理器(Digital Signal Processor )。目前我们对本科生开设的数字信号处理课程大多侧重在处理技术方面,由于课时安排和其他一些原因,通常的特点是注重理论推导而忽略具体实现技术的介绍。最后导致的结果就是学生在学习了数字信号处理课程之后并不能把所学的理论知识与实际的工程应用联系起来,表现在他们做毕业设计时即使是对学过的相关内容也无法用具体的手段来实现,或者由于无法与具体实际相挂钩理解而根本就忘记了。我相信,我们开设本课程的根本目的应该是让学生在熟练掌握数字信号处理的基本原理基础上,能结合工程实际学习更多的DSP 实现技术及其在通信、无线电技术中的应用技能,这也是符合DSP 本身的二重定义的,学生通过本课程的学习,将应该能从事数字信号处理方面的研究开发、产品维护等方面的技术工作。其实很多学生在大学四年学习过后都有这种反思:到底我在大学学到了什么呢?难道就是一些理论知识吗?他们将如何面对竞争日益激烈的社会呢? 因此,大家在应用MATLAB学习并努力掌握数字信号处理的原理,基本理论的同时,应该始终意识到该课程在工程应用中的重要性,并在课后自学一些有关DSP技术及FPGA技术方面的知识。这样,学习本课程学习的三部曲是:一,学习数字信号处理的基本理论;二,掌握如何用MATLAB 实现一些基本的算法,如FFT ,FIR 和IIR 滤波器设计等;三,选择一种数字信号处理器作为实现平台进行实践学习,比如TI 公司的TMS320C54x 系列芯片,包括该处理器的硬件和软件系统,如Code Composer Studio及像MATLAB Link for Code Composer Studio这样的工具。 在学习数字信号处理的过程中,要注重培养自己的工程思维方法。数字信号处理的理论含有许多研究问题和解决问题的科学方法,例如频率域的分析方法、傅里叶变换的离散做法、离散傅里叶变换的快速计算方法等, 这些方法很好。虽然它们出现在信号处理的专业领域, 但是, 其基本精神是利用事物的特点和规律解决实际问题, 这在各个领域中是相同的。还有, 数字信号处理的理论的产生是有原因的, 这些原因并不难懂, 就是理论为应用服务, 提高使用效率。 例如: 为什么要使用频率域的分析方法?原因是从时间看问题, 往往看到事物的表面, 就像 我们用眼睛看水只能看到水的颜色, 看不到水的基本成分, 同样, 从时间看信号只能看到信号变化的大小和快慢,看不到信号的基本成分; 若采用分解物质的方法, 从成分的角度去看, 用化学分析则能看到水的各种成分, 同样, 用分解信号的方法则能看到信号里的基本成分, 至于基本成分的选择则视哪种基本类型最适合实际信号处理, 这就是频率域的分析方法。 又如: 为什么要采用离散的傅里叶变换?原因很简单, 因为要利用计算机计算傅里叶变换, 而计算机只能计算数据, 不能计算连续变量, 所以必须分离连续的傅里叶变换, 使它成为离散的傅里叶变换。 再如: 为什么要采用离散傅里叶变换的快速计算方法?原因是, 理论上离散傅里叶变换能让计算机分析频谱, 但是, 直接按照离散傅里叶变换的定义计算它, 计算量太大, 实用价值不大; 只有采用巧妙的方法降低计算量, 则离散傅里叶变换才有实用价值,这种巧妙的方法就 是离散傅里叶变换的快速计算方法。降低计算量的巧妙之处在, 离散傅里叶变换的计算量与信号的长度成正比, 科学家想办法将信号分解成为短信号, 分解成为短信号的方法有多种, 只要开动脑筋,我们也是一样可以想出来的。 最后,感谢同学们对我的支持,我会尽我所能,与大家共同探索"数字信号处理"领域的奇妙世界。

数字信号处理试题--清华大学

清华大学数字信号处理试卷 数字信号处理 一、填空题(每空1分, 共10分) 1.序列()sin(3/5)x n n π=的周期为 。 2.线性时不变系统的性质有 律、 律、 律。 3.对4()()x n R n =的Z 变换为 ,其收敛域为 。 4.抽样序列的Z 变换与离散傅里叶变换DFT 的关系为 。 5.序列x(n)=(1,-2,0,3;n=0,1,2,3), 圆周左移2位得到的序列为 。 6.设LTI 系统输入为x(n) ,系统单位序列响应为h(n),则系统零状态输出y(n)= 。 7.因果序列x(n),在Z →∞时,X(Z)= 。 二、单项选择题(每题2分, 共20分) 1.δ(n)的Z 变换是 ( ) A.1 B.δ(ω) C.2πδ(ω) D.2π 2.序列x 1(n )的长度为4,序列x 2(n )的长度为3,则它们线性卷积的长度是 ( ) A. 3 B. 4 C. 6 D. 7 3.LTI 系统,输入x (n )时,输出y (n );输入为3x (n-2),输出为 ( ) A. y (n-2) B.3y (n-2) C.3y (n ) D.y (n ) 4.下面描述中最适合离散傅立叶变换DFT 的是 ( ) A.时域为离散序列,频域为连续信号 B.时域为离散周期序列,频域也为离散周期序列 C.时域为离散无限长序列,频域为连续周期信号 D.时域为离散有限长序列,频域也为离散有限长序列 5.若一模拟信号为带限,且对其抽样满足奈奎斯特条件,理想条件下将抽样信号通过 即可完 全不失真恢复原信号 ( ) A.理想低通滤波器 B.理想高通滤波器 C.理想带通滤波器 D.理想带阻滤波器 6.下列哪一个系统是因果系统 ( ) A.y(n)=x (n+2) B. y(n)= cos(n+1)x (n) C. y(n)=x (2n) D.y(n)=x (- n) 7.一个线性时不变离散系统稳定的充要条件是其系统函数的收敛域包括 ( ) A. 实轴 B.原点 C.单位圆 D.虚轴 8.已知序列Z 变换的收敛域为|z |>2,则该序列为 ( ) A.有限长序列 B.无限长序列 C.反因果序列 D.因果序列 9.若序列的长度为M ,要能够由频域抽样信号X(k)恢复原序列,而不发生时域混叠现象,则频 域抽样点数N 需满足的条件是 ( )

数字电路与系统

A .电路的原有状态 B. 此时刻前的输入 C. 此时刻前的输出 D .此时刻的输入 A .二进制译码器 B .十进制译码器 C .码制变换译码器 6、由与非门构成的基本 RS 触发器中,当两个输入端都为 1 A .具有保持功能 B .置1 C .置0 D .是不确定状态 7、74LS1 75 是( )位集成寄存器。 A .四 B .三 大工 15 秋《数字电路与系统》开卷考试期末复习资料 、单项选择题 1、 各种格雷码的共同特点是任意两个相邻码之间有几位不同? A . B . C . D .四 2、 实现与运算后再进行非运算的复合逻辑门电路称为( A .异或门 B .同或门 C .或非门 D ?与非门 3、变量每增加一个,其函数卡诺图的小格数就增加( A . 0.5 B . 1 C . 1.5 D . 2 4、下列哪项可以影响组合逻辑电路某一时刻的输出?( 5、( )的功能是将一种码制的代码转换成另一种码制的代码 D .显示译码器 ,触发器( )

c . 8、 555定时器的电压范围为( )V 。 A . 0-3 B . 3-5 C . 0-18 D . 3-18 9、 使用双极性码时,其满刻度值是单极性码满刻度值的( : A . 0.5 B . 1 C . 1.5 D . 2 10、 下列选项中,哪项不是半导体存储器按照信息存取方式划分的?( A .顺序存储器 B .随机存储器 D. 双极型存储器 11、有关单稳态触发器哪种说法是错误的?( ) A .两个工作状态,一个是稳态,一个是暂稳态; B .没有外加触发信号时,电路处于稳定状态; C .在外加信号作用下,由稳态反转到暂稳态; D .稳态持续一段时间以后,会会自动过渡到暂稳态; 14、N AB AC BC 是否存在竞争冒险,如果存在,是什么型?( C .只读存储器 12、下面哪个内容不是逐次逼近型 A. 电压比较器 C .串行数字输出 〔3、F=(A+B )(C+D ) (B+C )(A+C ) A . F A B C D BC AC C . ADC 的组成部分?( ) B. 逻辑控制电路 D .逐次逼近寄存器 的对偶式是( )0 B . F AB CD A C D . F =AB + AB +BC +AC BC

数字电路与系统

数字电路与系统 集团标准化工作小组 #Q8QGGQT-GX8G08Q8-GNQGJ8-MHHGN#

大工15秋《数字电路与系统》开卷考试期末复习资料 一、单项选择题 1、各种格雷码的共同特点是任意两个相邻码之间有几位不同() A.一B.二 C.三D.四 2、实现与运算后再进行非运算的复合逻辑门电路称为()。 A.异或门B.同或门 C.或非门D.与非门 3、变量每增加一个,其函数卡诺图的小格数就增加()倍。 A.B.1 C.D.2 4、下列哪项可以影响组合逻辑电路某一时刻的输出() A.电路的原有状态B.此时刻前的输入 C.此时刻前的输出D.此时刻的输入 5、()的功能是将一种码制的代码转换成另一种码制的代码。 A.二进制译码器B.十进制译码器C.码制变换译码器D.显示译码器 6、由与非门构成的基本RS触发器中,当两个输入端都为1时,触发器()。A.具有保持功能B.置1 C.置0 D.是不确定状态7、74LS175是()位集成寄存器。 A.四B.三

C .二 D .一 8、555定时器的电压范围为( )V 。 A .0-3 B .3-5 C .0-18 D .3-18 9、使用双极性码时,其满刻度值是单极性码满刻度值的( )倍。 A . B .1 C . D .2 10、下列选项中,哪项不是半导体存储器按照信息存取方式划分的( ) A .顺序存储器 B .随机存储器 C .只读存储器 D .双极型存储器 11、有关单稳态触发器哪种说法是错误的( ) A .两个工作状态,一个是稳态,一个是暂稳态; B .没有外加触发信号时,电路处于稳定状态; C .在外加信号作用下,由稳态反转到暂稳态; D .稳态持续一段时间以后,会会自动过渡到暂稳态; 12、下面哪个内容不是逐次逼近型ADC 的组成部分( ) A .电压比较器 B .逻辑控制电 路 C .串行数字输出 D .逐次逼近寄 存器 13、F=(A+B)(C+D)(B+C)(A+C)的对偶式是( )。A .F AB CD BC AC =+++ B .F AB CD A C BC =+++

数字电路与系统(DOC)

数字电路与系统(DOC)

大工15秋《数字电路与系统》开卷考试期末复习资料 一、单项选择题 1、各种格雷码的共同特点是任意两个相邻码之间有几位不同?() A.一B.二 C.三D.四 2、实现与运算后再进行非运算的复合逻辑门电路称为()。 A.异或门B.同或门 C.或非门D.与非门 3、变量每增加一个,其函数卡诺图的小格数就增加()倍。 A.0.5 B.1 C.1.5 D.2 4、下列哪项可以影响组合逻辑电路某一时刻的输出?() A.电路的原有状态B.此时刻前的输入 C.此时刻前的输出D.此时刻的输入

5、()的功能是将一种码制的代码转换成另一种码制的代码。 A.二进制译码器B.十进制译码器 C.码制变换译码器D.显示译码器 6、由与非门构成的基本RS触发器中,当两个输入端都为1时,触发器()。 A.具有保持功能B.置1 C.置0 D.是不确定状态7、74LS175是()位集成寄存器。A.四B.三 C.二D.一 8、555定时器的电压范围为()V。A.0-3 B.3-5 C.0-18 D.3-18 9、使用双极性码时,其满刻度值是单极性码满刻度值的()倍。 A.0.5 B.1 C.1.5 D.2 10、下列选项中,哪项不是半导体存储器按照信息存取方式划分的?()

A.顺序存储器B.随机存储器C.只读存储器D.双极型存储器 11、有关单稳态触发器哪种说法是错误的?() A.两个工作状态,一个是稳态,一个是暂稳态;B.没有外加触发信号时,电路处于稳定状态;C.在外加信号作用下,由稳态反转到暂稳态;D.稳态持续一段时间以后,会会自动过渡到暂稳态; 12、下面哪个内容不是逐次逼近型ADC的组成部分?() A.电压比较器B.逻辑控制电路 C.串行数字输出D.逐次逼近寄存器 13、F=(A+B)(C+D)(B+C)(A+C)的对偶式是()。A.F AB CD BC AC =+++ =+++B.F AB CD AC BC C.F AB CD BC AC =+++D.F =AB + AB +BC +AC 14、BC + N+ =是否存在竞争冒险,如果存在,AC A B 是什么型?() A.存在,0型冒险

什么是数字信号处理

什么是数字信号处理?有哪些应用? 利用数字计算机或专用数字硬件、对数字信号所进行的一切变换或按预定规则所进行的一切加工处理运算。 例如:滤波、检测、参数提取、频谱分析等。 对于DSP:狭义理解可为Digital Signal Processor 数字信号处理器。广义理解可为Digital Signal Processing 译为数字信号处理技术。在此我们讨论的DSP的概念是指广义的理解。 数字信号处理是利用计算机或专用处理设备,以数字形式对信号进行采集、变换、滤波、估值、增强、压缩、识别等处理,以得到符合人们需要的信号形式。 信号处理的实质是对信号进行变换。 信号处理的目的是获取信号中包含的有用信息,并用更直观的方式进行表达。 DSP的应用几乎遍及电子学每一个领域。 ▲通用数字信号处理器:自适应滤波,卷积,相关,数字滤波,FFT, 希尔伯特变换,波形生成,窗函数等等。 ▲语音信号处理:语音增强、识别、合成、编码、信箱等,文字/语音转换 ▲图形/图像处理:三维动画,图象鉴别/增强/压缩/传输,机器人视觉等等图 ▲特殊应用数字信号处理:振动和噪声分析与处理,声纳和雷达信号处理, 通信信号处理, 地震信号分析与处理,汽车安全及全球定位,生物医学工程等等。 在医疗、军事、汽车等行业,以及通信市场、消费类电子产品等中具有广阔的市场前景。 数字信号处理系统的基本组成:前置预滤波器(PrF)、a/d变换器(ADC)、数字信号处理器(DSP)、d/a变换器(DAC)、模拟滤波器(PoF) 数字信号处理特点: 1.大量的实时计算(FIR IIR FFT), 2.数据具有高度重复(乘积和操作在滤波、卷积和FFT中等常见) 数字信号处理技术的意义、内容 数字信号处理技术是指数字信号处理理论的应用实现技术,它以数字信号处理理论、硬件技术、软件技术为基础和组成,研究数字信号处理算法及其实现方法。 意义: 在21世纪,数字信号处理是影响科学和工程最强大的技术之一 它是科研人员和工程师必须掌握的一门技巧 DSP芯片及其特点 ▲采用哈佛结构体系:独立的程序和数据总线,一个机器周期可同时进行程序读出和数据存取。对应的:冯·诺依曼结构。 ▲采用流水线技术: ▲硬件乘法器:具有硬件连线的高速“与或”运算器 ▲多处理单元:DSP内部包含多个处理单元。 ▲特殊的DSP指令:指令具有多功能,一条指令完成多个动作;如:倒位序指令等 ▲丰富的外设▲功耗低:一般DSP芯片功耗为0.5~4W。采用低功耗技术的DSP芯片只有0.1W/3.3V、1.6V (电池供电) DSP芯片的类别和使用选择 ▲按特性分:以工作时钟和指令类型为指标分类▲按用途分:通用型、专用型DSP芯片 ▲按数据格式分:定点、浮点各厂家还根据DSP芯片的CPU结构和性能将产品分成若干系列。 TI公司的TMS320系列DSP芯片是目前最有影响、最为成功的数字信号处理器,其产品销量一直处于领先地位,公认为世界DSP霸主。 ?目前市场上的DSP芯片有: ?美国德州仪器公司(TI):TMS320CX系列占有90%

数字电路与系统设计课后习题答案

1、1将下列各式写成按权展开式: (352、6)10=3×102+5×101+2×100+6×10-1 (101、101)2=1×22+1×20+1×2-1+1×2-3 (54、6)8=5×81+54×80+6×8-1 (13A、4F)16=1×162+3×161+10×160+4×16-1+15×16-2 1、2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。 解:略 1、3二进制数00000000~11111111与0000000000~1111111111分别可以代表多少个数?解:分别代表28=256与210=1024个数。 1、4将下列个数分别转换成十进制数:(1111101000)2,(1750)8,(3E8)16 解:(1111101000)2=(1000)10 (1750)8=(1000)10 (3E8)16=(1000)10 1、5将下列各数分别转换为二进制数:(210)8,(136)10,(88)16 解:结果都为:(10001000)2 1、6将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16 解:结果都为(77)8 1、7将下列个数分别转换成十六进制数:(11111111)2,(377)8,(255)10 解:结果都为(FF)16 1、8转换下列各数,要求转换后保持原精度: 解:(1、125)10=(1、0010000000)10——小数点后至少取10位 (0010 1011 0010)2421BCD=(11111100)2 (0110、1010)余3循环BCD码=(1、1110)2 1、9用下列代码表示(123)10,(1011、01)2: 解:(1)8421BCD码: (123)10=(0001 0010 0011)8421BCD (1011、01)2=(11、25)10=(0001 0001、0010 0101)8421BCD (2)余3 BCD码 (123)10=(0100 0101 0110)余3BCD (1011、01)2=(11、25)10=(0100 0100、0101 1000)余3BCD 1、10已知A=(1011010)2,B=(101111)2,C=(1010100)2,D=(110)2 (1)按二进制运算规律求A+B,A-B,C×D,C÷D, (2)将A、B、C、D转换成十进制数后,求A+B,A-B,C×D,C÷D,并将结果与(1)进行比较。解:(1)A+B=(10001001)2=(137)10 A-B=(101011)2=(43)10 C×D=(111111000)2=(504)10 C÷D=(1110)2=(14)10 (2)A+B=(90)10+(47)10=(137)10 A-B=(90)10-(47)10=(43)10 C×D=(84)10×(6)10=(504)10 C÷D=(84)10÷(6)10=(14)10 两种算法结果相同。 1、11试用8421BCD码完成下列十进制数的运算。 解:(1)5+8=(0101)8421BCD+(1000)8421BCD=1101 +0110=(1 0110)8421BCD=13

数字电路与系统设计实验报告

数字电路与系统设计实验报告 学院: 班级: 姓名:

实验一基本逻辑门电路实验 一、实验目的 1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验设备 1、二输入四与非门74LS00 1片 2、二输入四或非门74LS02 1片 3、二输入四异或门74LS86 1片 三、实验内容 1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验方法 1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。 五、实验过程 1、测试74LS00逻辑关系 (1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯) (2)真值表 2、测试74LS02逻辑关系

(1)接线图 (2)真值表 3、测试74LS86逻辑关系接线图 (1)接线图 (2)真值表 六、实验结论与体会 实验是要求实践能力的。在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。实在检查不出来,可以请老师和同学帮忙。

实验二逻辑门控制电路实验 一、实验目的 1、掌握基本逻辑门的功能及验证方法。 2、掌握逻辑门多余输入端的处理方法。 3、学习分析基本的逻辑门电路的工作原理。 二、实验设备 1、基于CPLD的数字电路实验系统。 2、计算机。 三、实验内容 1、用与非门和异或门安装给定的电路。 2、检验它的真值表,说明其功能。 四、实验方法 按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。 五、实验过程 1、用3个三输入端与非门IC芯片74LS10安装如图所示的电路。 从实验台上的时钟脉冲输出端口选择两个不同频率(约7khz和14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 2、实验得真值表

广州大学数字信号处理实验一

广州大学学生实验报告 开课学院及实验室: 年 月 日 一、 实验目的 1.熟悉MATLAB 的主要操作命令。 2.学会用MATLAB 创建时域离散信号。 3.学会创建MATLAB 函数。 二、 实验原理 参阅附录MATLAB 基本操作及常用命令。 三、 实验内容 完成以下操作。 1.数组的加、减、乘、除运算。 输入A=[1 2 3 4];B=[3 4 5 6];计算:C=A+B ;D=A-B ;E=A.*B ;F=A./B ;G=A.^B ;并用stem 语句画出A 、B 、C 、D 、E 、F 、G 。 2.用MATLAB 实现以下序列 (1)单位抽样序列 (2)单位阶跃序列 ???<≥=0 00,0,1)n -(n n n n n u (3)矩形序列 ???≠==0 0,0,1)n -(n n n n n δ

???≥<-≤≤=),0(,0)10(,1)(N n n N n n R N (4)正弦序列 X(n)=5sin(0.5πn+ π/4) (5)指数序列 X(n)=exp(-0.5n) 3.用MA TLAB 生成以下两个序列: )4(5)3(4)2(3)1(2)()(-+-+-+-+=n n n n n n x δδδδδ )3(2)2()1(2)()(-+-+-+=n n n n n h δδδδ 并作以下运算,并绘制运算后序列的波形。 (1))5(, )5(+-n x n x (2))(n x - (3))()(n h n x + (4))(3n x (5))()(n h n x 4.利用MATLAB 读取一个W A V 文件,并画出其波形图。将此W A V 文件的信号幅 度衰减一半后再存为另一个W A V 文件。 四. 实验结果: 实验内容1结果与程序如下: A=[1 2 3 4] B=[3 4 5 6] C=A+B D=A-B E=A.*B F=A./B G=A.^B subplot(3,3,1) stem(A,'.') subplot(3,3,2) stem(B,'.') subplot(3,3,3) stem(C,'.')

数字系统测试

本章主要介绍了四方面的内容: 1. 数字系统测试的基本原理,包括常用术语、故障模型等数字系统测试和数据域分析的基本概念、建立在通路敏化思想上的D算法和用数学方法寻求组合电路测试的布尔差分法、基于迭接阵列的时序电路测试方法和时序电路功能核实序列、随机测试和穷举测试的基本原理、数据域测试系统的组成和原理概述; 2. 逻辑分析的主要特点、类型以及它的主要技术指标;逻辑分析仪的基本结构和组成原理;触发与跟踪方式、显示方式和在软硬件测试中的应用方法。 3. 可测性设计技术,包括可测性设计的基本原理,扫描可测性设计技术,内建自测试技术和边界扫描测试技术。 4.误码率测试和嵌入式微处理器的可测性设计。 11.1 数字系统测试的基本原理 本节要求 理解数字系统测试、数据域测试和传统时域测试和频域测试相比所具有的特点;理解数字系统测试和故障诊断中常用的故障模型;理解敏化通路法、D算法的基本原理和用D算法求解无冗余组合逻辑电路单故障测试矢量的基本步骤;了解用迭接阵列方法测试时序电路的基本原理;掌握一阶布尔差分法的运用和同步序列、引导序列和区分序列三种时序电路的功能核实序列的求法;理解随机测试和穷举测试的基本思想。掌握数据域测试系统的基本和基本原理,特别是特征分析的原理和数字信号源的作用和原理。 11.1.1 数字系统测试和数据域分析的基本概念 1.数字系统测试和数据域测试的特点 与其它测试领域相比,数字系统测试和数据域测试有许多鲜明的特点,例如: 第一,数字系统的响应与激励之间不是简单的线性关系。 第二,随着数字集成电路集成度增长,常常不得不依靠少数外部测试点上所得到的有限测试结果去推断电路内部所发生的复杂过程。 第三,在微机化数字系统中,除了由于硬件故障引起外部信息错乱外,还可能由于软件问题而导致异常输出。 第四,在一个数字系统的某一点上所发生的事件,往往经过若干个内部工作循环以后,才会在另一点或输出端有所表现,甚至可能毫无表现。 第五,由于数字信息几乎都是多位传输的,且数据流往往很长,许多信号仅发生一次,而其中可能只有一位,甚至只在某一瞬时出错,造成故障和出错不易辨认和捕获。 2.几个术语 数据域测试的目的在于:首先,判断被测系统或电路中是否存在故障,此过程称为故障侦查(Fault Detection),也称作故障检测;进一步,如果有故障,则应查明其原因、性质和产生的位置,此过程称为故障定位(Fault Location)。故障侦查和故障定位合称故障诊断或简称为诊断。 被测件因构造特性的改变而产生一个缺陷(Defect),称为物理故障。缺

数字电路与系统1

大连理工大学网络教育学院 2018年秋《数字电路与系统》 期末考试复习题 ☆注意事项:本复习题满分共:400分 一、单项选择题 1、实现或运算逻辑功能的逻辑器件称为()。 A.非门B.与门 C.或门D.与或非门 2、四变量卡诺图共有()个小格。 A.4 B.8 C.12 D.16 3、编码器的功能是把输入信号编成()进制代码。 A.二B.八 C.十D.十六 4、()是算术运算的基本单元。 A.译码器B.编码器 C.加法器D.数据比较器 5、如果逻辑电路在较慢速度下工作,为了消去竞争冒险,可以如何操作?() A.在输入端串联一个电容器B.在输入端并联一个电容器 C.在输出端串联一个电容器D.在输出端并联一个电容器 6、时钟RS触发器输入端S=R=0时,CLK=1,则触发器()。 A.两个输出端同时变为1 B.次态为0 C.次态为1 D.保持原态 7、寄存器是由具有存储功能的触发器组合起来构成的,一个触发器可以存储()位二进制代码。A.1 B.2 C.3 D.4

8、555定时器构成的施密特触发器上限阈值电压是Vcc 的( )倍。 A .1/3 B .1/2 C .2/3 D .1 9、对于某个输入数字,实测输出值与理论输出值之( )称为绝对误差。 A .和 B .差 C .积 D .商 10、ADC0816是一个( )ADC 。 A .二位 B .八位 C .十二位 D .十六位 11、有关单稳态触发器哪种说法是错误的?( ) A .两个工作状态,一个是稳态,一个是暂稳态; B .没有外加触发信号时,电路处于稳定状态; C .在外加信号作用下,由稳态反转到暂稳态; D .稳态持续一段时间以后,会会自动过渡到暂稳态; 12、下面哪个内容不是逐次逼近型ADC 的组成部分?( ) A .电压比较器 B .逻辑控制电路 C .串行数字输出 D .逐次逼近寄存器 13、F=(A+B)(C+D)(B+C)(A+C)的对偶式是( )。 A .F AB CD B C AC =+++ B .F AB CD AC B C =+++ C .F AB CD BC AC =+++ D .F =AB + AB +BC +AC 14、BC AC B A N ++=是否存在竞争冒险,如果存在,是什么型?( ) A .存在,0型冒险 B .存在,1型冒险 C .不存在竞争冒险 D .即存在0型冒险,又存在1型冒险 15、有关存储器二进制单元的容量以下说法哪种正确?( ) A .1Byte=8bit B .4096位是256字节 C .4096bit=256B D .212=1K

数字信号处理

数字信号处理 实 验 报 告

实验四IIR数字滤波器设计及软件实现一、实验目的 (1)熟悉用双线性变换法设计IIR数字滤波器的原理与方法; (2)学会调用MATLAB信号处理工具箱中滤波器设计函数(或滤波器设计分析工具fdatool)设计各种IIR数字滤波器,学会根据滤波需求确定滤波器指标参数。 (3)掌握IIR数字滤波器的MATLAB实现方法。 (4)通过观察滤波器输入输出信号的时域波形及其频谱,建立数字滤波的概念 二、实验原理与方法 设计IIR数字滤波器一般采用间接法(脉冲响应不变法和双线性变换法),应用最广泛的是双线性变换法。基本设计过程是:①先将给定的数字滤波器的指标转换成过渡模拟滤波器的指标;②设计过渡模拟滤波器;③将过渡模拟滤波器系统函数转换成数字滤波器的系统函数。MATLAB 信号处理工具箱中的各种IIR数字滤波器设计函数都是采用双线性变换法。第六章介绍的滤波器设计函数butter、cheby1 、cheby2 和ellip可以分别被调用来直接设计巴特沃斯、切比雪夫1、切比雪夫2和椭圆模拟和数字滤波器。本实验要求读者调用如上函数直接设计IIR数字滤波

器。 三、实验内容及步骤 (1)调用信号产生函数mstg产生由三路抑制载波调幅信号相加构成的复合信号st,该函数还会自动绘图显示st 的时域波形和幅频特性曲线,如图10.4.1所示。由图可见,三路信号时域混叠无法在时域分离。但频域是分离的,所以可以通过滤波的方法在频域分离,这就是本实验的目的。 (2)要求将st中三路调幅信号分离,通过观察st的幅频特性曲线,分别确定可以分离st中三路抑制载波单频调幅信号的三个滤波器(低通滤波器、带通滤波器、高通滤波器)的通带截止频率和阻带截止频率。要求滤波器的通带最大衰减为0.1dB,阻带最小衰减为60dB。 提示:抑制载波单频调幅信号的数学表示式为 S(t)=cos(2*pi*f0*t)cos(2*pi*fc*t)=1/2[cos(2*pi*(fc-f0)*t)+cos(2*pi(fc+f0)*t)] 其中,cos(2*pi*fc*t)称为载波,fc为载波频率, cos(2*pi*f0*t)f0为调制正弦波信号频率,且满足fc>f0。由上式可见,所谓抑制载波单频调幅信号,就是2个正弦信号相乘,它有2个频率成分:和频f0+fc和差频fc-f0,这2个频率成分关于载波频率fc 对称。所以,1路抑制载波单频调幅信号的频谱图是关于载波频率fc对称的2根谱线,其中没有载频成分,故取名为抑制载波单频调幅信号。

数字电路与系统

大工15秋《数字电路与系统》开卷考试期末复习资料 一、单项选择题 1、各种格雷码的共同特点是任意两个相邻码之间有几位不同?() A.一B.二 C.三D.四 2、实现与运算后再进行非运算的复合逻辑门电路称为()。 A.异或门B.同或门 C.或非门D.与非门 3、变量每增加一个,其函数卡诺图的小格数就增加()倍。 A.0.5 B.1 C.1.5 D.2 4、下列哪项可以影响组合逻辑电路某一时刻的输出?() A.电路的原有状态B.此时刻前的输入 C.此时刻前的输出D.此时刻的输入 5、()的功能是将一种码制的代码转换成另一种码制的代码。 A.二进制译码器B.十进制译码器 C.码制变换译码器D.显示译码器 6、由与非门构成的基本RS触发器中,当两个输入端都为1时,触发器()。A.具有保持功能B.置1 C.置0 D.是不确定状态 7、74LS175是()位集成寄存器。 A.四B.三 C.二D.一

8、555定时器的电压范围为( )V 。 A .0-3 B .3-5 C .0-18 D .3-18 9、使用双极性码时,其满刻度值是单极性码满刻度值的( )倍。 A .0.5 B .1 C .1.5 D .2 10、下列选项中,哪项不是半导体存储器按照信息存取方式划分的?( ) A .顺序存储器 B .随机存储器 C .只读存储器 D .双极型存储器 11、有关单稳态触发器哪种说法是错误的?( ) A .两个工作状态,一个是稳态,一个是暂稳态; B .没有外加触发信号时,电路处于稳定状态; C .在外加信号作用下,由稳态反转到暂稳态; D .稳态持续一段时间以后,会会自动过渡到暂稳态; 12、下面哪个内容不是逐次逼近型ADC 的组成部分?( ) A .电压比较器 B .逻辑控制电路 C .串行数字输出 D .逐次逼近寄存器 13、F=(A+B)(C+D)(B+C)(A+C)的对偶式是( )。A .F AB CD BC AC =+++ B .F AB CD A C BC =+++ C .F AB C D BC AC =+++ D .F =AB + AB +BC +AC 14、BC AC B A N ++=是否存在竞争冒险,如果存在,是什么型?( )A .存在,0型冒险 B .存在,1型冒险 C .不存在竞争冒险

数字电路与系统基础知识

第1章 数字电路与系统实验基础知识 随着数字技术日新月异的发展,数字电路与系统实验已成为高等学校电类相关专业重要的专业基础课程,具有较强的实用性、创造性和实践性。数字电路与系统实验依据教学、科研的具体要求设计实验项目,要求学生实现电路设计、安装和调试,从基本逻辑功能的实现到复杂数字系统的设计,逐步掌握具有特定功能数字电路的设计方法,从而达到巩固基本理论知识、培养实践能力的目的。千里之行,始于足下。掌握基础知识,是做好数字电路与系统实验的第一步。 1.1 数字电路与系统实验基本知识 1.1.1 数字电路与系统实验的特点 与电路实验和模拟电子电路实验相比,数字电路与系统实验具有以下特点。 1.所有电路和系统的输入量和输出量都是二值化的数字量 数字量具有在时间和数值上均离散的特点,在数字电路与系统实验中,一般输入量外接逻辑开关,输出量外接指示灯,实验结果直观、易判断,实验数据的处理较为简单,复杂计算极少,容易激发学生的学习兴趣,培养逻辑思维的能力。 2.实验器件都是集成芯片 数字电路与系统实验中采用的器件主要是半导体集成芯片,而非独立元件。在基本数字电路的设计中,一般采用中、小规模集成电路,在复杂系统的设计中,一般采用大规模甚至超大规模集成电路。这一特点使得数字电路与系统实验的硬件连线大大减少,电路调试和排查错误的难度大大降低。 3.实践性很强 优秀的数字电路与系统的设计需要丰富的实践经验,而这些实践经验来源于大量实际电路的设计和调试。因此,在最基本的实验项目中,就应开始注重实践经验的积累。 1.1.2 数字电路与系统实验的基本过程 独立、成功地完成一次实验课的基本过程如下。 1.课前预习 在进入数字电路实验室之前,充分的课前预习对顺利完成所有实验项目具有举足轻重的作用。课前预习的内容包括本次实验项目中涉及的基本理论知识、所需集成芯片的逻辑功能、每个实验任务的设计方案和具体的电路图,以及记录数据的表格和波形坐标系。 2.基本性实验项目 每次实验课中都设计了基本性实验项目,其主要目的是测试和验证实验电路的基本逻辑功能,掌握基本器件的使用方法,锻炼电路连接能力,掌握实验数据的观察和处理方法。

相关文档
最新文档