EDA程序设计
eda设计流程
eda设计流程EDA(Electronic Design Automation)设计流程是指在电子设计过程中使用计算机辅助工具来完成各个设计阶段的流程。
这些工具可以帮助设计人员提高工作效率,减少错误,并加速设计的验证和调试过程。
下面将介绍EDA设计流程的一般步骤和内容。
1. 需求分析阶段:在这个阶段,设计团队与客户一起讨论和确定设计的需求和目标。
设计团队需要了解客户的要求,并将其转化为具体的设计规范。
这个阶段通常涉及到市场调研、竞争分析、功能分析等内容。
2. 架构设计阶段:在这个阶段,设计团队将根据需求分析的结果,确定设计的整体架构和功能模块。
设计团队需要考虑设计的可扩展性、可维护性和可重用性,并选择适合的技术和工具进行设计。
3. 电路设计阶段:在这个阶段,设计团队将根据架构设计的结果,进行电路的详细设计。
设计团队需要选择合适的电子元器件,并考虑电路的功耗、时序和可靠性等因素。
设计团队可以使用电路仿真工具来验证电路的性能,并进行必要的调整和优化。
4. 物理设计阶段:在这个阶段,设计团队将完成电路设计的物理布局和布线。
设计团队需要考虑电路板的尺寸、散热、电磁兼容和信号完整性等因素。
设计团队可以使用物理设计工具来进行布局和布线的自动化处理,并进行必要的优化和验证。
5. 验证和调试阶段:在这个阶段,设计团队将对设计进行验证和调试,以确保设计的功能和性能符合要求。
设计团队可以使用验证工具进行功能验证、时序验证和功耗验证等。
设计团队还可以使用调试工具来定位和解决设计中的问题。
6. 生产准备阶段:在这个阶段,设计团队将准备设计的生产文件,并与制造商进行沟通和协调。
设计团队需要生成设计文件、制造文件和测试文件,并确保设计的可制造性和可测试性。
7. 生产和测试阶段:在这个阶段,设计团队将与制造商一起进行产品的生产和测试。
制造商将根据设计文件进行电路板的制造,然后进行功能测试和质量控制。
设计团队需要与制造商保持密切的合作,并解决生产和测试中的问题。
EDA程序设计
EDA课程设计学院:电子信息科学与技术班级:11级电信本(一)班姓名:王后影学号: 1 1 0 9 1 4 0 3 3辅导教师:肖开选基于LMP的流水线乘法累加器设计一、设计目的乘法累加器常在全硬件的数字信号处理的不同算法中用到。
本节通过一个8位流水线乘法累加器的实例介绍以顶层原理图为工程,VHDL文本描述和宏功能块为原理图元件的输入和设计方法。
二、设计原理本设计通过调用LPM加法器模块以及LPM乘法器模块构建一个乘法累加器,另外,本文还给出LPM库的乘法累加器模块ALTMULT_ADD,进过参数设置,能实现同上功能。
三、symbol图产生的symbol见附录。
四、设计结果及分析1、8位乘法累加器顶层设计图图(一)顶层设计图2、时序仿真波形图图(二)工程仿真波形图由上述波形可知:在clk的第四个上升沿后才得到第一个计算数据,之前都是0,这就是流水线结构的计算结果。
第四个上升沿得到结果为,而第五个上升沿后得到结果为,第六个上升沿后得到的结果为。
3、LPM库的乘法累加器模块图图(三)ALTMULT_ADD模块图五、附录1、ADD16B产生的symbol2、MULT8B产生的symbol3、FF8B产生的symbolVHDL有限状态机设计一、设计目的1、进一步熟悉和掌握Quartus软件的各模块功能的使用方法。
2、加深对VHDL语言的了解,熟悉VHDL语言的语法特点,深刻了解Quartus 软件仿真中出现的各种问题并能加以解决。
3、学习使用和查看状态转换图。
二、设计原理本设计说明部分中使用type语句定义新的数据类型。
状态变量(如现态和次态)应定义为信号,便于信息传递,并将状态变量的数据类型定义为含有既定状态元素的新定义的数据类型。
其中新定义的数据类型名是FSM_ST,其元素类型分别为s0,s1,s2,s3,s4,使其恰好表述状态机的五个状态。
在此设计模块说明部分,定义了五个文字参数符号,代表五个状态。
EDA常用电路设计程序
用VHDL进行基本逻辑电路设计总结组合逻辑电路设计、时序逻辑电路设计、状态机设计、存储器设计(调用宏功能模块进行设计)1 组合逻辑电路设计常见组合逻辑电路设计主要有:基本门电路、3-8译码器、8-3线优先编码器、比较器、多路选择器、三态门电路、单向总线驱动器、双向总线缓冲器等。
1.1 基本门电路基本门电路用VHDL语言来描述十分方便。
为方便起见,在下面的两输入模块中,使用VHDL中定义的逻辑运算符,同时实现一个与门、或门、与非门、或非门、异或门及反相器的逻辑。
LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY GATE ISPORT (A,B:IN STD_LOGIC;YAND,YOR,YNAND,YNOR,YNOT,YXOR:OUT STD_LOGIC);END GATE;ARCHITECTURE ART OF GATE ISBEGINYAND <=A AND B;--与门输出YOR <=A OR B;--或门输出YNAND <=A NAND B;--与非门输出YNOR <=A NOR B;--或非门输出YNOT <=A NOT B;--反相器输出YXOR <=A XOR B;--异或门输出END ART;1.2 3-8译码器下面我们分别以2种方法描述一个3-8译码器。
方法1:使用CASE_WHEN 语句LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY DECODER ISPORT(SEL:IN STD_LOGIC_VECTOR(2 DOWNTO 0);EN: IN STD_LOGIC; ---加使能控制端Y:OUT STD_LOGIC _VECTOR (7 DOWNTO 0));END;ARCHITECTURE ART1 OF DECODER ISBEGINPROCESS(SEL,EN)BEGINY<=”11111111”;IF(EN=’1’) THENCASE SEL ISWHEN "000"=> Y(0)<= ‘0’;--输出低有效WHEN "001"=> Y(1)<= ‘0’;WHEN "010"=> Y(2)<= ‘0’;WHEN "011"=> Y(3)<= ‘0’;WHEN "100"=> Y(4)<= ‘0’;WHEN "101"=> Y(5)<= ‘0’;WHEN "110"=> Y(6)<= ‘0’;WHEN "111"=> Y(7)<= ‘0’;WHEN OTHERS=>NULL;END CASE;ELSE Y<=”11111111”;END IF;END PROCESS;END ART1;方法2:使用条件选择WHEN ELSE语句ARCHITECTURE ART2 OF DECODER ISBEGINY (0)<=‘0’ WHEN (EN=’1’AND SEL="000") ELSE ’1’;Y (1)<=‘0’ WHEN (EN=’1’AND SEL="001") ELSE ’1’;Y (2)<=‘0’ WHEN (EN=’1’AND SEL="010") ELSE ’1’;Y (3)<=‘0’ WHEN (EN=’1’AND SEL="011") ELSE ’1’;Y (4)<=‘0’ WHEN (EN=’1’AND SEL="100") ELSE ’1’;Y (5)<=‘0’ WHEN (EN=’1’AND SEL="101") ELSE ’1’;Y (6)<=‘0’ WHEN (EN=’1’AND SEL="110") ELSE ’1’;Y (7)<=’0’ WHEN (EN=’1’AND SEL="111") ELSE ’1’;END ART2;注意:使用了8条 WHEN ELSE 语句1.3 8-3线优先编码器8-3线优先编码器输入信号为y0、y1、y2、y3、y4、y5、y6和y7,输出信号为OUT0、OUT1和OUT2。
EDA课件EDA设计流程
其他HDL仿真器
2.3.5 下载器
2.4 QuartusII 简介
图形或 HDL编辑
设计 输入
Analysis & Synthesis (分析与综合)
综合或 编译
Filter (适配器)
Assembler (编程文件汇编)
适配器件
Timing Analyzer (时序分析器)
仿真
图1-9 Quartus II设计流程
2.1 设计流程
图2-1 应用于FPGA/CPLD的EDA开发流程
2.1 设计流程
2.1.1 设计输入(原理图/HDL文本编辑) 1. 图形输入
状态图输入
波形图输入
原理图输入
2. HDL文本输入
在EDA软件的图形编辑界面上绘 制能完成特定功能的电路原理图
将使用了某种硬件描述语言(HDL)的电路设计文本, 如VHDL或Verilog的源程序,进行编辑输入。
2.3.2 HDL综合器
FPGA Compiler II、DC-FPGA综合器、 Synplify Pro综合器、LeonardoSpectrum综合 器和Precision RTL Synthesis综合器
2.3.3 仿真器 2.3.4 适配器
VHDL仿真器 Verilog仿真器
Mixed HDL仿真器
数字 ASIC
数模
混合 模拟 ASIC ASIC
图2-2 ASIC分类
2.2 ASIC及其设计流程
2.2.1 ASIC设计方法
ASIC 设计方法
全定制法
半定制法
门阵列法 标准单元法 可编程逻辑器件法
图2-3 A设计的流程
系统规范说明
clk resetL
EDA技术与VHDL程序设计基础教程
二、EDA技术的发展历程和未来展望
现代EDA技术是20世纪90年代初从计算机辅助设计、辅助制造和辅 助测试等工程概念发展而来的。它的成熟主要经历了三个阶段,即:
计算机辅助设计(CAD,Computer Aided Design) 计算机辅助工程设计(CAED,Computer Aided Engineering Design) 电子设计自动化(EDA,Electronic System DesignAutomation)。
11 111 111 11111111 11
&
≥1
&
≥1
&
≥1
&
≥1
1
三、 CPLD的基本结构和工作原理
1.输出逻辑宏单元 2.控制电路
3.全局布线区
属于ispLSI中的一种专用内部 互联结构。起作用是将GLB的 输出信号或I/O单元的输入信 号与GLB的输入端连接
EDA技术主要有四个方面: 1、可编程逻辑器件,即应用EDA技术完成电子系统设计的载体; 2、硬件描述语言(VHDL 或者 Verilog)。它用来描述系统的结构和功
能,是EDA的主要表达手段; 3、配套的软件工具。它用来完成电子系统的智能化设计; 4、实验开发系统。在整个EDA设计电子系统的过程中,实验开发系统是 实现可编程器件下载和验证的工具,
第2章
重点内容:
CPLD结构和工作原理 FPGA结构和工作原理 FPGA配置方式 CPLD/FPGA应用选型
一、可编程逻辑器件概述
可编程逻辑器件 PROM、PLA和PAL的原理和特性
PROM
基于二极管和三极管 的一次可编程PROM单 字线
元
二极管
上拉电阻
eda设计流程
eda设计流程EDA设计流程。
EDA(Electronic Design Automation)是电子设计自动化的缩写,是指利用计算机技术和软件工具来辅助进行电子系统的设计过程。
在现代电子设计中,EDA工具已经成为不可或缺的一部分,它们能够帮助设计工程师提高设计效率、降低设计成本、缩短设计周期。
下面将介绍EDA设计流程的一般步骤。
1. 需求分析。
在进行EDA设计之前,首先需要进行需求分析。
这一步骤是非常重要的,因为它直接关系到最终产品是否能够满足客户的需求。
在需求分析阶段,设计工程师需要和客户充分沟通,了解客户的需求和期望,明确产品的功能和性能指标。
2. 概念设计。
在需求分析的基础上,设计工程师开始进行概念设计。
概念设计阶段的主要任务是确定产品的整体结构和工作原理,选择合适的技术方案和器件。
在这个阶段,设计工程师需要进行大量的技术调研和方案比较,以确保最终选择的方案能够满足产品的需求,并且具有较高的可行性和可靠性。
3. 详细设计。
在概念设计确定之后,设计工程师开始进行详细设计。
在这个阶段,需要进行电路设计、PCB布局、器件选型、信号完整性分析等工作。
同时,还需要进行仿真验证,以确保设计的正确性和稳定性。
在详细设计阶段,设计工程师需要充分考虑电路的布局和走线,以确保信号的传输和抗干扰能力。
4. 验证和调试。
在完成详细设计之后,需要进行验证和调试。
这一阶段主要是通过实际的测试和调试,验证设计的正确性和稳定性。
在这个阶段,设计工程师需要充分利用EDA工具,进行电路仿真、时序分析、功耗分析等工作,以确保设计的可靠性和性能满足要求。
5. 产业化。
最后一步是产业化,也就是将设计转化为实际的产品。
在这个阶段,设计工程师需要和生产工程师密切合作,确保设计的可制造性和可测试性。
同时,还需要进行工艺验证和样品测试,以确保产品能够满足质量和性能要求。
总结。
以上就是EDA设计流程的一般步骤。
在实际的设计过程中,每个步骤都需要设计工程师精心打磨,以确保最终产品能够满足客户的需求和期望。
eda最简单的课程设计
eda最简单的课程设计一、课程目标知识目标:1. 让学生掌握EDA(电子设计自动化)的基本概念,理解其在现代电子设计领域的重要性。
2. 使学生了解并能够描述EDA工具的基本功能,如电路图绘制、电路仿真、PCB设计等。
3. 帮助学生理解并掌握简单电路的EDA设计流程。
技能目标:1. 培养学生运用EDA软件进行电路图绘制和电路仿真的能力。
2. 培养学生通过EDA工具设计简单的PCB布线图,并能进行基本的质量检查。
3. 培养学生具备团队协作和沟通能力,能够就设计过程中遇到的问题进行有效讨论。
情感态度价值观目标:1. 培养学生对电子设计领域的兴趣,激发创新意识,提高实践能力。
2. 培养学生具有严谨的科学态度,对待设计任务认真负责,追求卓越。
3. 培养学生具备合作精神,学会尊重他人意见,共同解决问题。
分析课程性质、学生特点和教学要求:本课程为电子技术相关课程,旨在让学生通过实践操作,掌握EDA技术的基本应用。
针对初中年级学生,课程内容需结合学生已有的电子知识,注重启发性和趣味性。
在教学过程中,注重培养学生的实际操作能力和团队协作能力。
二、教学内容1. EDA基本概念介绍:包括EDA的定义、发展历程、主要应用领域。
2. EDA工具功能概述:介绍常见的EDA软件,如Altium Designer、Cadence等,以及它们的主要功能特点。
- 电路图绘制:学习如何使用EDA软件绘制电路原理图。
- 电路仿真:了解仿真原理,学习使用EDA软件进行电路功能仿真。
- PCB设计:学习PCB布线设计的基本概念和方法,掌握简单PCB布线图的绘制。
3. 简单电路的EDA设计流程:以实际案例为引导,让学生了解从电路设计到PCB制作的完整流程。
- 设计任务分析:明确设计任务,分析电路功能需求。
- 电路图绘制与仿真:根据需求,使用EDA软件完成电路图绘制并进行仿真测试。
- PCB布线与制作:将电路图转化为PCB布线图,并进行基本的质量检查。
eda程序设计
process(clk)
begin
if clk'event and clk='1' then
q1<=q1+1;
end if;
q<=q1;
end process;
end behave;
11、4为二进制数比较器
library ieee;
use ieee.std_logic_1164.all;
elsif a(2)='1' then y<="010";
elsif a(1)='1' then y<="001";
elsif a(0)='1' then y<="000";
end if;
end process;
end behave;
3、多路分配器
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
architecture behave of bijiaoqi is
begin
output<=(a>=b);
end behave;
12、整数加法运算
library ieee;
use ieee.std_logic_1164.all;
entity zhengshujiafa is
ENTITY dmux1to8 IS
PORT(data:IN STD_LOGIC;
enable: IN STD_LOGIC;
s: IN STD_LOGIC_VECTOR(2 DOWNTO 0);
EDA设计流程
EDA设计流程:设计输入,综合,适配,时序仿真和功能仿真,编辑下载,硬件测试VHDL综合:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配过程,综合仅对hdl而言的,综合过程将把软件的hdl描述与硬件结果挂钩是将软件转化为硬件电路的关键步骤,是文字描述与硬件实现的一座桥梁FPGA/CPLD基于什么结构:查找表结构器件;乘积项结构器件。
IP:知识产权核或知识产权模块,软IP:用vhdl等硬件描述语言描述的功能块。
固IP:完成综合的功能块。
硬Ip:提供设计的最终阶段产品即掩模资源共享:主要针对数据通路中耗费逻辑资源较多的模块,通过选择复用方式共享使用该模块,以减少使用该模块的使用个数,以减少资源浪费。
时钟边缘检测描述语句:“CLK'EVENT AND CLK='1'” 检测时钟信号CLK的上升沿的,<信号名>'EVENT 侧下降沿:CLK=’0’AND CLK’LAST_V ALUE=’1’、falling_edge()、CLK’EVENT AND (CLK=’0’)等各种状态机编码的优缺点:一般有限状态机(\状态机克服了纯硬件数字系统顺序方式控制不灵活的缺点\状态机可以定义符号化枚举类型的状态\状态机容易构成性能良好的同步时序逻辑模块\状态机的VHDL表述丰富多样、程序层次分明,易读易懂\在高速运算和控制方面,状态机更有其巨大的优势\高可靠性 .)Moore型有限状态机.Mealy型有限状态机速度优化和面积优化:面积优化:指FPGA/CPLD的资源利用优化(资源共享,逻辑优化,串行化)a使用规模更小的可编程逻辑芯片,降低成本。
b可编程器件由于布线资源有限耗用资源过多会严重影响电路性能。
c为技术升级留下可编程资源。
d资源耗用过多会使功耗上升。
速度优化:流水线设计,寄存器配平,关键路径法。
标准逻辑矢量数据类型的赋值:B:OUT STD_logic_vector(7downto0);signalA:STD_logic_vector(1to4)进程中的信号与变量赋值:信号SIGNAL 变量V ARIABLE基本用法用于作为电路中的信号连线用于作为进程中局部数据存储单元适用范围在整个结构体内的任何地方都能适用只能在所定义的进程中使用行为特性在进程的最后才对信号赋值立即赋值变量Variable a: std_logic;信号Y<=a+b;结构体的定义:是实体所定义的设计实体中的一个组成部分标识符的格式:以英文字母开头,必须是单一“_”,且左右必须有英文或数字,不区分大小写,允许图形符号(回车符,换行符等),也允许有空格符。
eda简单课程设计
eda简单课程设计一、课程目标知识目标:1. 让学生掌握EDA(电子设计自动化)的基本概念,了解其在现代电子设计中的应用。
2. 使学生了解并掌握EDA工具的基本操作流程,包括原理图绘制、电路仿真和PCB布线等。
3. 帮助学生理解并掌握简单的数字电路设计原理,例如逻辑门、触发器等。
技能目标:1. 培养学生运用EDA工具进行原理图绘制和电路仿真的能力。
2. 培养学生运用EDA工具设计简单数字电路并进行PCB布线的能力。
3. 提高学生解决实际电子设计问题的能力,培养团队协作和沟通技巧。
情感态度价值观目标:1. 激发学生对电子设计的兴趣,培养创新意识和实践能力。
2. 培养学生严谨、细致、负责的学习态度,养成良好的电子设计习惯。
3. 增强学生的团队合作意识,培养互相尊重、共同进步的价值观。
课程性质:本课程为实践性较强的课程,结合理论教学,以学生动手实践为主。
学生特点:本课程针对的是高年级学生,他们已经具备一定的电子基础知识,具有较强的学习能力和动手能力。
教学要求:结合学生特点和课程性质,将课程目标分解为具体的学习成果,注重理论与实践相结合,提高学生的实际操作能力。
在教学过程中,关注学生的个体差异,因材施教,确保每个学生都能达到课程目标。
二、教学内容本课程教学内容主要包括以下三个方面:1. EDA基本概念及工具介绍:- 理解电子设计自动化(EDA)的定义及其在现代电子设计中的应用。
- 介绍常见的EDA工具,如Multisim、Protel等,并了解其功能特点。
2. EDA工具操作与使用:- 原理图绘制:学习如何使用EDA工具绘制原理图,掌握常用的电子元件及其符号。
- 电路仿真:学习运用EDA工具对电路进行仿真,分析电路性能。
- PCB布线:学习如何使用EDA工具进行PCB布线,了解布线规则和技巧。
3. 简单数字电路设计与实践:- 学习并掌握基本逻辑门、触发器等数字电路的设计原理。
- 结合EDA工具,设计并实现简单的数字电路,如计数器、寄存器等。
eda设计的基本流程
eda设计的基本流程EDA(Electronic Design Automation,电子设计自动化)是一种利用软件工具辅助电子系统设计的技术。
它通过提供各种设计、分析和验证工具,帮助电子工程师更高效地完成复杂电路的设计和实现。
下面将介绍EDA设计的基本流程。
第一步:需求分析在开始设计之前,首先需要明确设计的需求。
这包括确定电路的功能、性能要求以及预算限制。
通过与客户或团队内部的沟通,明确设计的目标是非常关键的。
第二步:电路设计在电路设计阶段,设计师需要使用专业的设计软件进行电路的原理图设计。
在设计过程中,要根据需求选择适当的器件和元件,进行电路拓扑结构的设计。
此外,还需要对元器件进行参数的选择和匹配,确保设计的电路能够满足性能指标。
第三步:电路验证电路设计完成后,需要进行验证。
验证的目的是确保设计的电路能够按照预期工作。
在验证过程中,通常会采用模拟仿真和数字仿真两种方法。
模拟仿真主要用于验证电路的连续性和稳定性,数字仿真则用于验证电路的数字逻辑功能。
第四步:PCB布局PCB(Printed Circuit Board,印刷电路板)布局是将设计的电路转化为实际的PCB板的过程。
在布局阶段,需要将电路元件放置在PCB 板上,并根据电路的要求进行布线。
良好的布局和布线是保证电路性能和可靠性的关键。
第五步:PCB制造PCB制造是将布局好的PCB板进行制造和生产。
制造的过程包括PCB板的切割、镀铜、蚀刻等步骤。
在制造过程中,需要确保PCB板的质量符合设计要求,并进行必要的测试和检验。
第六步:电路调试和测试在PCB制造完成后,需要对电路进行调试和测试。
通过对电路的电气特性和功能进行测试,可以确保电路工作正常,并找出任何潜在的问题。
在测试过程中,可能需要使用一些专用设备和仪器。
第七步:性能评估和优化在完成电路调试和测试后,可以对电路的性能进行评估。
根据评估结果,可以进行电路的优化。
优化的目标是提高电路的性能、降低功耗或减小尺寸等。
eda的设计流程
eda的设计流程EDA(Electronic Design Automation)是电子设计自动化的缩写,是指通过计算机和相关软件工具来辅助进行电子设计的过程。
在EDA的设计流程中,一般包括需求分析、电路设计、电路模拟、布局布线、验证测试等多个阶段。
本文将详细介绍EDA的设计流程。
1. 需求分析需求分析是EDA设计流程的第一步,也是最为重要的一步。
在需求分析阶段,设计人员需要与客户或使用者进行充分的沟通,了解他们的需求和期望。
通过调研市场需求、竞争对手产品等手段,确定产品的功能、性能、成本等方面的要求。
在需求分析阶段,设计人员还需要制定详细的设计规范和设计目标,为后续的设计工作提供依据。
2. 电路设计在需求分析的基础上,设计人员开始进行电路设计。
电路设计是EDA设计流程的核心环节,它包括了电路结构设计、电路元件选择、电路原理图绘制等过程。
设计人员需要根据需求分析的结果,选择合适的电路拓扑结构,确定电路中各个元件的类型和参数。
通过使用EDA软件工具,设计人员可以快速完成电路设计,并对电路进行初步的仿真分析。
3. 电路模拟电路模拟是为了验证电路设计的正确性和可行性,通过模拟仿真来分析电路的性能和特点。
在电路模拟阶段,设计人员需要将电路设计转化为仿真模型,并使用EDA工具进行仿真分析。
通过对电路的输入、输出波形、电压、电流等进行分析,设计人员可以评估电路的性能指标,如增益、带宽、失真等,并对电路进行优化。
4. 布局布线布局布线是将电路设计转化为实际的电路布局和线路连接的过程。
在布局布线阶段,设计人员需要根据设计目标和约束条件,将各个电路模块合理地布置在芯片上,并进行线路的优化和连接。
通过使用EDA工具,设计人员可以进行自动布局布线,减少人工操作,提高设计效率。
5. 验证测试验证测试是为了验证电路布局布线后的设计是否符合需求规范,是否能够正常工作。
在验证测试阶段,设计人员需要对布局布线后的电路进行功能测试、电气特性测试、可靠性测试等。
EDA仿真程序设计
EDA仿真程序设计数字时钟班级姓名学号一设计思想设计首先分三部分,首先对原始信号进行分频,输出我们所需要的时钟信号;第二部分是完成计时功能,第三部分是完成在多位数码管上进行显示的功能。
●利用分频程序将原始50MHz的时钟信号分别分为计时所需的1Hz信号和扫描显示所需的500Hz信号。
●在计时进程中,后利用加法计数器进行计时,利用时钟信号上升沿进行进位。
●在显示部分主要分为两个阶段,第一是在7段共阴极数码管上分别显示出0~9这十个数,第二是在8位扫描数码显示中实现位选。
这两个进程主要利用的均是case语句。
在进行完程序之后,在QuartusⅡ上进行验证仿真,后链接硬件下载程序进行实现。
二程序流程三主体程序介绍1分频器(原始时钟频率clk为50MHz)⑴计时分频:1Hz的clk1时钟信号process(clk)variable cnt1:integer range 0 to 49999999;beginif clk'event and clk='1' thenif cnt1=49999999 then cnt1:=0; clk1<='1';else cnt1:=cnt1+1; clk1<='0';--利用加法计数器,将周期扩大50M,频率缩小1/50M⑵显示扫描分频: 500Hz的clk2时钟信号process(clk)variable cnt2:integer range 0 to 99999;beginif clk'event and clk='1' thenif cnt2=99999 then cnt2:=0; clk2<='1';else cnt2:=cnt2+1; clk2<='0';--同理,周期扩大100000倍,频率缩小1/1000002计时程序⑴秒计时个位:process(clk1,rst)beginif rst='1' then sec1<="0000";elsif clk1'event and clk1='1' thenif sec1<"1001" thensec1<=sec1 + 1 ; s1<='0';else sec1<="0000";s1<='1';--利用计数器计时,计时时钟上升沿清零,二者共同作用创造新的计时时钟s1上升沿进位十位:process(s1,rst)beginif rst='1' then sec2<="0000";elsif s1'event and s1='1' thenif sec2<"0101" then sec2<=sec2 + 1 ;else sec2<="0000" ;--计时阶段与个位相同,只不过计时时钟变成了s1if clk1'event and clk1='1' thenif sec1=9 and sec2=5 then s2<='1';else s2<='0';--向分钟进位时只需将59s的情况单独定义即可⑵分钟计时与秒计时的程序一致。
第二章 EDA设计流程
件合并为一个网表文件, 并使层次设计平面化。
VHDL综合器运行流程
①、约束条件:
在逻辑综合过程中,为优化输出和工艺映射的需要,一定
要有相应的约束条件以实现对设计实体的控制。 如: 面积、 速度、功耗、可测性。
②、工艺库:
工艺库将提供综合工具所需要的全部半导体工艺信息。即
工艺库不仅含有ASIC单元的逻辑功能、单元面积、输入到输出 的定时关系、输出的扇出限制和对单元所需的定时检查。
2.3.2 HDL综合器
2.3.3 仿真器
VHDL仿真器
Mixed HDL仿真器
2.3.4 适配器 2.3.5 下载器
KX
康芯科技
EDA工具软件
1、ALTERA: MAX+PLUSII、QUARTUSII
2、LATTICE: isp EXPERT SYSTEM、 isp Synario ispDesignExpert SYSTEM ispCOMPILER、PAC-DESIGNER
EDA 技术实用教程
第 2 章 EDA设计流程及其工具
2.1 EDA 设计流程
设计准备
设计输入 ◇原理图 ◇硬件描述语言 ◇波形图
功能仿真
设计处理 ◇优化、综合 ◇适配、分割 ◇布局、布线
时序仿真
器件编程
器件测试
图2-1 应用于FPGA/CPLD的EDA开发流程
•
•
1. 设计准备
在系统设计之前, 首先要进行方案论证、 系统设
2.5 IP核简介
IP (Intellectual Property)
软IP
固IP
硬IP
KX
康芯科技
•
•
3. 功能仿真
功能仿真也叫前仿真。 用户所设计的电路必须在
电子行业EDA课程设计
电子行业EDA课程设计1. 引言EDA(Electronic Design Automation)是电子行业中广泛应用的一种技术,它通过使用计算机软件工具来辅助设计、分析和验证电子系统的各个方面。
在电子行业的课程设计中,EDA技术的应用非常重要。
本文将介绍电子行业EDA课程设计的基本要素和流程,以及常用的EDA工具和技术。
2. 课程设计的基本要素电子行业EDA课程设计包括以下基本要素:2.1 课程目标在电子行业EDA课程设计中,首要目标是培养学生的电子设计能力。
通过课程设计,学生应能够掌握EDA工具的使用和设计流程的基本原理,能够对电子系统进行模拟、验证和优化。
2.2 课程内容电子行业EDA课程设计的内容包括以下方面:•电子系统设计基础知识•EDA工具的基本原理和使用方法•电路仿真与优化技术•PCB设计与布局•FPGA设计与验证2.3 课程要求电子行业EDA课程设计的学习要求主要包括:•参与实际项目的电路设计和仿真•熟练掌握常用EDA工具的使用•能够编写脚本自动化设计流程•能够独立完成一个小型电子系统的设计与验证3. 课程设计流程电子行业EDA课程设计的流程通常包括以下步骤:3.1 课程设计规划在课程设计之前,教师需要规划好课程的目标、内容和评估方式。
确定这些要素可以帮助学生更好地理解课程的重点和学习目标。
3.2 项目需求分析在课程设计的初期阶段,学生需要进行项目需求的分析。
这包括确定项目的功能需求、性能需求和资源限制等。
通过需求分析,学生可以明确项目的目标和设计要求。
3.3 电路设计与仿真在电子行业EDA课程设计中,学生需要使用EDA工具进行电路设计和仿真。
他们需要将电路设计转化为电路图,并在EDA工具中进行仿真和验证。
通过仿真,学生可以检查电路的正确性和性能。
3.4 PCB设计与布局根据电路设计的结果,学生需要进行PCB设计和布局。
他们需要将电路图转化为PCB板,确定电路的布局和连接方式。
通过PCB设计,学生可以实现电路的物理实现和布线优化。
第3章EDA设计流程及其工具
3.5 常用EDA工具软件
一、EDA工具大致可分为如下五个模块: 1、 设计输入编辑器。 2、仿真器。 3、HDL综合器。 4、适配器(或布局布线器)。 5、下载器。
二、 MAX+plusII概述
具有一定的典型性和一般性 MAX+plusII是Altera提供的FPGA/CPLD开发集成 环境,Altera是世界最大可编程逻辑器件供应商 之一。MAX+plusII界面友好,使用便捷,被誉 为业界最易用易学的EDA软件。
四、IP的发展: 1、初级阶段:免费使用,扩大营业
IC生产厂(Foundry) 扩大业务,提供精心设计并经过工 艺验证的标准单元,吸引IC设计公司(Fabless,无生产 线IC公司)成为他的客户,向客户提供相关的数据资料。 IC设计师十分乐于使用成熟、优化的单元完成自己的设 计,可提高效率,又可减少设计风险。一旦完成设计, 自然必须到这家Foundry去做工艺流片,这就使Foundry 达到了的目的。标准单元使用者与Foundry签订标准单 元数据不扩散协议,无须交单元库的使用费,没直接获 取IP的收益,扩大营业间接收到单元库的IP效益。
2、半定制或全定制ASIC 统称为掩模(MASK)ASIC,或直接称ASIC。 特点:用户设计IC,IC 厂家生产 三种级别: A、半导体元件、连线的大小与尺寸,电路全定 制 B、片内晶体管固定,用户设计连线 半定制 C、库内含标准单元,如SSI逻辑块、MSI逻辑 块、数据通道模块、存储器、IP,乃至系统级模 块。用户在EDA工具上进行开发/粘贴。
特点是必须首先关注并致力于解决系统最底层硬件 的可获得性,以及它们的功能特性方面的诸多细 节问题;在整个逐级设计和测试过程中,始终必 须顾及具体目标器件的技术细节。在这个设计过 程中的任一时刻,最底层目标器件的更换,或某 些技术参数不满足总体要求,或缺货,或由于市 场竞争的变化,临时提出降低系统成本,提高运 行速度等等不可预测的外部因素,都将可能使前 面的工作前功尽弃,工作又得重新开始。 结论:是一种低效、低可靠性、费时费力、且成本 高昂的设计方法。
EDA课程设计程序
EDA课程设计——数字式竞赛抢答器一、系统设计要求在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者,通常设置一台抢答器,通过数显、灯光及音响等各种手段批示出第一抢答者。
同时,还可以设置计分、犯规及奖惩记录等各种功能。
本设计的具体要求是:1、设计制作一个可容纳四组参赛者的数字智力抢答器,每组设置一个抢答按钮供抢答者使用。
2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮无作用。
3、设置一个主持人“复位”按钮。
4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出2~3秒的音响。
5、设置一个计分电路,每组开始预置100分,由主持人记分,答对一次加10分,答错一次减10分。
二、系统设计方案根据系统设计要求可知,系统的输入信号有:各组的抢答按钮A、B、C、D,系统清零信号CLR,系统时钟信号CLK,计分复位端RST,加分按钮端ADD,减分按钮端ACC,系统的输出信号有:四个组抢答成功与否的指示灯控制信号输出口LEDA、LEDB、LEDC、LEDD,四个组抢答时的计时数码显示控制信号若干,抢答成功组别显示的控制信号若干,各组计分动态显示的控制信号若干。
本系统应具有的功能有:第一抢答信号的鉴别和锁存功能;抢答计分功能;各组得分的累加和动态显示功能。
根据以上的分析,我们可将整个系统分为三个主要模块:抢鉴别模块QDJB;抢答计分模块JFQ;显示译码模块YMQ,对于需显示的信息,需增加或外接译码器,进行显示译码。
考虑到FPGA的可用接口及一般EDA实验开发系统的输出显示资源的限制,这里我们将组别显示和计时显示的译码器内设,而将各组的计分显示的译码器外接。
系统的工作原理如下:当主持人按下使能端CLR时,抢答器开始工作,A、B、C、D 四个抢答者谁最先抢答成功则此选手的台号灯(LEDA—LEDD)将点亮,并且主持人前的组别显示数码将显示出抢答成功者的台号;扬声器发出2~3秒的音响。
EDA程序设计试题及答案
1.请画出下段程序的真值表,并说明该电路的功能。
LIBRARY ieee;USE ieee。
std_logic_1164。
all;ENTITY aaa ISPORT( oe,dir :IN STD_LOGIC ;a,b :INOUT STD_LOGIC_VECTOR(7 DOWNTO 0 );END aaa ;ARCHITECTURE ar OF aaa ISBEGINPROCESS(oe , dir )输入输出BEGIN a1 a0 x3 x2 x1 x0 IF oe=’0' THEN a〈=”zzzzzzzz”;b〈="zzzzzzzz”; 0 0 0 0 0 1 ELSIF oe=’1’THEN 0 1 0 0 1 0 IF dir='0’THEN b<=a; 1 0 0 1 0 0ELSIF dir=’1’THEN a〈=b; 1 1 1 0 0 0ENDIF;END IF ;END PROCESS ;END ar ;功能为:2-4译码器…………………………………………。
4分2.请说明下段程序的功能,写出真值表,并画出输入输出波形。
LIBRARY ieee;USE ieee。
std_logic_1164。
all;USE ieee。
std_logic_arith.all;USE ieee。
std_logic_unsigned.all;ENTITY aaa ISPORT( reset,clk:IN STD_LOGIC;q: BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0));END aaa;ARCHITECTURE bd OF aaa ISBEGINPROCESS(clk,reset)BEGINIF (rese t=’0') THEN q〈=”000";ELSIF (clk'event AND clk='1’)THENIF (q=5)THEN q<="000";ELSE q〈=q+1;END IF;END IF;END PROCESS;END bd;功能为:带进位借位的4位加/减法器 (3)输入输出波形图如下:………………………………………………………7分ma[b[3。
eda课程设计完整
eda课程设计完整一、教学目标本课程的教学目标是使学生掌握eda的基本概念、原理和应用方法,培养学生进行电子设计的能力和创新意识。
知识目标:使学生了解eda的基本概念、原理和流程,掌握常用的电子设计工具和软件,了解电子设计的基本方法和步骤。
技能目标:培养学生进行电子设计的能力,使学生能够熟练地运用eda工具进行电子电路的设计、仿真和验证,培养学生解决实际问题的能力。
情感态度价值观目标:培养学生对电子设计的兴趣和热情,使学生认识到电子设计在现代科技中的重要地位和作用,培养学生的创新意识和团队协作精神。
二、教学内容本课程的教学内容主要包括eda的基本概念、原理和应用方法。
1.eda的基本概念和原理:介绍eda的定义、发展和分类,讲解电子设计的基本流程和方法,使学生了解eda工具的作用和重要性。
2.eda的应用方法:讲解常用的eda工具和软件的使用方法,介绍电子设计的基本方法和步骤,使学生能够熟练地运用eda工具进行电子电路的设计、仿真和验证。
3.实例分析:通过具体的实例分析,使学生更好地理解和掌握eda的应用方法,培养学生解决实际问题的能力。
三、教学方法本课程的教学方法包括讲授法、案例分析法和实验法。
1.讲授法:通过教师的讲解,使学生了解和掌握eda的基本概念、原理和应用方法。
2.案例分析法:通过具体的案例分析,使学生更好地理解和掌握eda的应用方法,培养学生解决实际问题的能力。
3.实验法:通过实验室的实践操作,使学生熟练地掌握eda工具的使用方法,培养学生的动手能力和创新意识。
四、教学资源本课程的教学资源包括教材、参考书、多媒体资料和实验室设备。
1.教材:选用权威、实用的教材,为学生提供全面、系统的学习资源。
2.参考书:提供相关的参考书籍,丰富学生的学习资料,拓展学生的知识面。
3.多媒体资料:制作精美的多媒体课件,生动形象地展示教学内容,提高学生的学习兴趣和效果。
4.实验室设备:提供完善的实验室设备,让学生能够进行实际的操作练习,提高学生的动手能力和创新意识。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
EDA设计题目:基于multisim10.0的交通灯设计与仿真学院:专业班级:学生姓名:指导教师:成绩:目录1系统概述 (3)1.1设计初始条件 (3)1.2设计要求指标 (3)2 交通控制器的设计原理 (4)2.1振荡电路 (4)2.1.1 555定时器构成的100 Hz多谐振荡器 (4)2.1.2 74LSl92构成的100分频和20分频的分频器 (4)2.2.3 74LS192构成的计数器和译码显示电路 (5)2.2主控制电路和信号灯译码驱动 (6)2.3仿真结果 (7)3 电路原理图: (8)3.1 Multisim原理图如下: (8)3.2电路仿真效果 (9)3.3 在Protel中的原理图: (10)3.4 PCB双面布线制版如图: (11)4.总结 (12)5.参考文献 (13)1系统概述在城镇街道的十字路口中,为保证交通秩序和行人安全,一般在每条道路上各有一组红、黄、绿交通信号灯。
图1是一个典型的十字路口的平面位置示意图:有主干道和支干道两条道路,每条道路上各有一组红、黄、绿交通信号灯。
主干道与支干道上的车辆交替运行,主干道上的车辆比较多,因此主干道的车辆通行时间长,支干道上的车辆少,因此支干道的车辆通行时间短。
主干道通行时,主干道绿灯亮,支干道红灯亮,时间为60S;支干道通行时,主干道绿灯亮,主干道红灯亮,时间为30S。
每次绿灯变红时,黄灯先闪烁3s(频率为5 Hz)。
此时另一路口的红灯不变。
基于以上规则设计的交通控制器控制十字路口两组红、黄、绿交通信号灯的状态转换,可以方便地实现指挥各种车辆和行人通行实现十字路口交通管理的自动化。
图1-1 十字路口的平面位置示意图1.1设计初始条件1)课程设计辅导资料:“数字电路EDA入门”、“EDA与数字系统设计”等;2)先修课程:电路、电子设计EDA、电子技术基础等。
3)主要涉及的知识点:4)门电路、组合逻辑电路、时序逻辑电路等。
1.2设计要求指标1)本课程设计统一技术要求:研读辅导资料对应章节,对选定的设计题目进行理论分析,针对具体设计部分的原理分析、建模、必要的推导和可行性分析,画出程序设计框图,编写程序代码(含注释),上机调试运行程序,记录实验结果(仿真结果),并对实验结果进行分析和总结。
具体设计要求包括:①复习EDA的相关技术与方法;②M ultisim10.0软件的使用:掌握该软件的仿真方法。
2 交通控制器的设计原理交通控制器电路按功能分成3个单元电路:振荡电路、计数器和译码显示电路、主控制电路和信号灯译码驱动。
2.1振荡电路振荡电路输出频率分别为1 Hz和5 Hz、幅度为5 V的时钟脉冲。
为提高精度,本设计系统利用555定时器设计一个输出频率为100 Hz的多谐振荡器,再通过100分频(100进制计数器)而得到l Hz的时钟脉冲,通过20分频得到5 Hz的时钟脉冲。
2.1.1 555定时器构成的100 Hz多谐振荡器555定时器是一种多用途的模拟、数字混合集成电路,在波形的产生与变换、控制与检测、家用电器以及电子玩具等领域等许多领域中得到了应用。
555定时器功能多样,应用广泛,只要外部配上几个阻容元器件即可构成单稳态触发器、施密特触发器、多谐振荡器等电路。
由555定时器构成的100 Hz多谐振荡器电路原理图如图2所示。
电路由一个555芯片、两个电阻和两个电容组成,通过电阻给电容C充电、放电的过程来产生振荡,从而输出矩形脉冲。
图2-1 555构成的100 Hz多谐振荡器原理图2.1.2 74LSl92构成的100分频和20分频的分频器计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,而且常用作数字74LSl92是同步十进制可逆计数器,它具有双时钟十进制可逆计数器、异步并行置数功能、保持功能以及清零功能。
CLR是清零端,LOAD是置数控制端。
用2片74LSl92可以构成二级十分频器,将100 Hz矩形波100分频得到1 Hz的时钟脉冲、通过20分频得到5Hz的时钟脉冲。
100分频和20分频电路如图3所示。
右边1片74LSl92的输出端QA端是经过20分频得到的5 Hz的时钟脉冲,而输出端QD端是经过100分频后得到的1 Hz的时钟脉冲。
图2-2 74 LSl92构成的100分频和20分频电路图2.2.3 74LS192构成的计数器和译码显示电路计数器电路具有60 s倒计时(计数范围为60~1的减数计数器)、30 S倒计时(计数范围为30~1的减数计数器)以及3s计时功能。
此三种计数的实现主要是由2片十进制计数器74I。
S192芯片组成,然后通过主控制电路实现转换,最终各个方向的倒计时共用一套译码显示数码管显示出来。
74LSl92构成的计数器电路图如图4所示:左边的1片74LSl92芯片为计数器的个位,右边的1片74LSl92芯片为计数器的十位,个位和十位计数器的四个输出端都接上数码管显示。
其中作为个位数的74LSl92芯片的CLK接的是1 Hz时钟脉冲。
图2-3 74LSl92构成的计数器电路2.2主控制电路和信号灯译码驱动主控制电路和信号灯译码驱动用各种门电路和T触发器组成,能实现计时电路的转换、各方向信号灯的控制。
主控制电路和信号灯译码驱动电路如图5所示。
图5中的红灯l,黄灯1,绿灯1是主干道的三个交通信号灯,红灯2,黄灯2,绿灯2则是支干道的三个交通信号灯。
图4中的两片74LSl92的8个输出端用或门连起来,接到LD置数端,决定倒计时器是置数还是计数。
工作开始时,LD为0,计数器预置数,此时T触发器的初始状态Q=0,因此预置数为30 s。
置数完后,LD变为1,计数器开始从30 s倒计时,T触发器状态发生翻转Q=1,主干道的红灯1及支干道的绿灯2亮。
当计数器计数到“03”秒时,由于图4中的十位计数器的QD2,QC2,QB2,QA2与个位计数器的QD1,QC1用一个或非门连起来,使信号灯发生转换,绿灯2灭,黄灯2在这3 s内以5 Hz的频率闪烁,红灯1不变。
当倒计时减到数“00”时,LD又变为0,计数器又预置60 S,之后又倒计时,如此循环下去。
图2-4 主控制电路2.3仿真结果将上述各单元电路组合起来,可以得到交通控制灯的整体电路,点击Multisim 10软件的“Simulate/Run”按钮或直接按“F5”键,便可以进行交通灯控制电路的仿真。
电路的倒计时显示首先为30 s,支干道的绿灯2亮,支干道的车辆可自由通行;主干道的红灯1亮,主干道的车辆禁止通行。
时间显示器从预置的30 s,以每秒减1,减到数3时,支干道的绿灯2转换为黄灯2,而且黄灯以0.2 s(5 Hz的频率)闪一次,其他灯不变。
减到数1时,1s后显示器又转换成预置的60s,支干道的黄灯2转换为红灯2,支干道的车辆禁止通行,主干道的红灯l转换为绿灯1,主干道的车辆可自由通行,如此循环下去。
3 电路原理图:3.1 Multisim原理图如下:图3-1 multisim原理图3.2电路仿真效果图3-2 multisim仿真图应用Protel软件对该电路进行制PCB板:首先,设计好的电路要在Multisim9 里面仿真通过,然后在Protel里面将仿真通过的电路图画出来,定义好封装,再进行电气检查,通过后再创建网络表,再在PCB制板中引入网络表制板,布线等。
3.3 在Protel中的原理图:图3-3 Protel原理图3.4 PCB双面布线制版如图:图3-4 PCB布线图在实际生产中,底板的面积与其成本直接成正比,因此在放置元器件时在实际生产中,底板的面积与其成本直接成正比,因此在放置元器件时,应在保证布线成功的前提下,以将底板面积尽可能地缩小为原则,合理放置各元器件。
4.总结1、通过这次课程设计,加强了我们动手、思考和解决问题的能力。
在整个设计过程中,我们通过这个方案包括设计了一套电路原理和PCB连接图,和芯片上的选择。
这个方案总共使用了74LS192,74LS145各两个,74LS04,74LS08,74LS20,74LS32,74LS112各一个。
2、在设计过程中,经常会遇到这样那样的情况,就是心里老想着这样的接法可以行得通,但实际接上电路,总是实现不了,因此耗费在这上面的时间用去很多。
3、我沉得做课程设计同时也是对课本知识的巩固和加强,由于课本上的知识太多,平时课间的学习并不能很好的理解和运用各个元件的功能,而且考试内容有限,所以在这次课程设计过程中,我们了解了很多元件的功能,并且对于其在电路中的使用有了更多的认识。
4、平时看课本时,有时问题老是弄不懂,做完课程设计,那些问题就迎刃而解了。
而且还可以记住很多东西。
比如一些芯片的功能,平时看课本,这次看了,下次就忘了,通过动手实践让我们对各个元件映象深刻。
认识来源于实践,实践是认识的动力和最终目的,实践是检验真理的唯一标准。
所以这个期末测试之后的课程设计对我们的作用是非常大的通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。
在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。
这次课程设计终于顺利完成了,在设计中遇到了很多专业知识问题,最后在老师的辛勤指导下,终于游逆而解。
同时,在老师的身上我们学也到很多实用的知识,在次我们表示感谢!同时,对给过我帮助的所有同学和各位指导老师再次表示忠心的感谢!5、此次课程设计,学到了很多课内学不到的东西,比如独立思考解决问题,出现差错的随机应变,和与人合作共同提高,都受益非浅,今后的制作应该更轻松,自己也都能扛的起并高质量的完成项目。
6、在此,感谢于老师的细心指导,也同样谢谢其他各组同学的无私帮助!5.参考文献1. 聂典主编《Multisim 10.0计算机仿真在电子电路设计中的应用》北京:电子工业出版社,20092. 清源计算机工作室编著《Protel99 SE原理图与PCB及仿真》北京:机械工业出版社,2004.13. 付华, 高迎慧主编《数字电子技术基础》沈阳:东北大学出版社,2002.44. (日)汤山俊夫著;彭军译《数字电路设计与制作》北京:科学出版社,2005.04。