三层电梯控制电路(word文档良心出品)

合集下载

三层电梯简单模拟控制系统PPT课件

三层电梯简单模拟控制系统PPT课件

直流电机制动控制
能耗制动 反接制动 再生发电制动
三种反接制动比较
制动方式 能耗制动 反接制动 发电回馈制动
优点
缺点
应用场合
1、 制动线路简 单、平稳可靠, 制动过程中不吸 收电能,经济、 安全。 2、 可以实现准 确停车 1、 电枢反接制 动转矩随转速变 化较小,制动强 烈而迅速。 2、 倒拉反接制 动的转速可以很 低,安全性好。
拓展知识
1、了解变频器在电梯中的运用 2、先入先出指令在电梯中的运用
变频器在电梯中的使用
本例介绍为三层电梯的控制,在实际运用中, 由于电梯使用的楼层比较高,为了在运行过程中 节省时间,可采用变频调速。例:用楼层限位开 关进行感应,启动时,若电梯离起点距离为一层 以下时,进行低速运转;若电梯离起点为一层以 上时,转为高速。停止时,若电梯当前位置离目 的地有两层以上距离时,用高速进行运行;若电 梯当前位置离目的地在两层以下距离时,用低速 运行;
电梯主要由机房、曳引机、轿厢、对重以及安全 保护设备等组成。电梯是一种起重运输设备,电梯的 轿厢在建筑物的电梯井道中上下运行。
直流电机概述
直流电动机可按励磁方式来分类,如电枢电源 与励磁电源分别由两个独立的直流电源供电,则称 为他励直流电动机;而当励磁绕组与电枢绕组以一 定方式连接后,由一个电源供电时,则按其连接方 式的不同而分为并励、串励及复励电动机。在机床 等设备中,以他励直流电动机应用较多,而在牵引 设备中,如电瓶车等则以申励直流电动机应用较多。
感谢聆听
不足之处请大家批评指导
Please Criticize And Guide The Shortcomings
演讲人:XXXXXX 时 间:XX年XX月XX日
四、练习

(完整word版)基于PLC的三层电梯控制系统设计

(完整word版)基于PLC的三层电梯控制系统设计

摘要现今社会中,经纪发展迅速,高楼大厦,日益增多,高层建筑中,楼层高的有几十层,仅仅是楼梯已无法达到人们的需求,电梯的出现解决了这一难题,所以,电梯的发展也成为了一种趋势,对于电梯控制越来越高,被人们所青睐,成为了高层建筑中的列班车。

人们生活好了,对于安全以及生活舒适度的要求也更高,因而电梯在之后的发展中,也随着这一趋势发展。

对于如何达到乘客乘坐电梯时,既安全又舒适这一问题,电梯的控制要求在电机调速、控制精度、以及调速范围等等,在各个方面提出了更加严格的控制要求。

随着工业需求发展,PLC 也由此诞生,利用PLC控制,为其提供了更为宽广的发展方向。

PLC因工业而生,随着其发展,其体积越来越小、功能也越来越完善、控制效果更加稳定、能够减小外界干扰对其的影响。

具有把机械和电气元器件,集成在一个系统里的功能。

因此,PLC对于电梯的发展,也成为了其操作的关键技术。

关键词:电梯、PLC、继电器ABSTRACTIn the modern society, the rapid development of the brokerage, high-rise buildings, increasingly, high-rise buildings, floors high, dozens of layer is only stairs have been unable to meet the demand of people, the emergence of the elevator solved this difficult problem, therefore, the development of the elevator has also become a kind of trend, for elevator control more and more high, favored by people, became a high-rise building columns in the bus. People living well, also to the requirement of safety and comfort of life is higher, so the elevator in later development, also with the development of this trend. About how to attain the passenger elevator, safe and comfortable this problem, the elevator control requirements in the motor speed and control precision and speed range and so on, puts forward the more strict control requirements in each aspect. Also was born, with the development of industrial demand, PLC control using PLC, provided the development direction of wider. PLC for industry, along with its development, its volume is more and more small, function also more and more perfect, the control effect is more stable, can reduce the influence of interference on the. With the mechanical and electrical components, the function of integrated in a system. Therefore, PLC for the development of the elevator, has become the key technology of its operationKeywords: elevator,PLC,relay目录前言 0第一章电梯的概述 (2)1.1电梯的定义 (2)1.2电梯的框架 (2)1.3电器部件 (3)1.4电梯控制系统发展的现状 (5)1.5电梯继电器控制系统的特点及存在问题 (6)1.6电梯技术发展趋势 (7)第二章PLC的概述 (8)2.1定义 (8)2.2功能 (9)2.3特点 (11)2.4 PLC与其他工业控制系统的比较 (15)2.5发展历史 (17)2.6 PLC的现状及发展趋势 (17)第三章三层电梯的设计 (19)3.1控制系统设计的基本原理 (19)3.2控制系统总体设计方案 (19)3.2.1控制要求 (20)3.2.2主电路的系统设计 (21)3.2.3硬件选型 (21)3.2.4电梯的I/O点分配 (23)3.2.5控制流程图 (25)3.2.6程序梯形图 (28)3.2.7程序语句表 (32)第四章程序运行仿真 (37)4.1仿真软件 (37)4.2触摸屏基本介绍 (37)4.3人机界面触摸屏软件的安装 (38)4.4触摸屏仿真画面的建立 (38)4.5 GT Simulater2仿真程序的使用 (39)4.6 程序运行仿真 (40)结束语 (43)参考文献 (44)前言现今社会中,经纪发展迅速,高楼大厦,日益增多,高层建筑中,楼层高的有几十层,仅仅是楼梯已无法达到人们的需求,电梯的出现解决了这一难题,所以,电梯的发展也成为了一种趋势,对于电梯控制越来越高,被人们所青睐,成为了高层建筑中的列班车。

PLC课程设计(三层电梯控制系统)

PLC课程设计(三层电梯控制系统)

PLC课程设计(三层电梯控制系统)系统介绍本篇文档将介绍一个基于PLC的三层电梯控制系统,包括系统的架构、PLC程序设计及硬件实现。

系统架构三层电梯控制系统由三部分组成:电梯控制器、上行电梯和下行电梯。

系统的架构如下图所示:+--------------+| || 控制器(PLC)+----> 上行电梯| |+--------------+||+----------> 下行电梯PLC程序设计状态图PLC程序设计基于电梯的状态图,如下所示:+--------------------++------>| 开门状态 |<-------------+| +--------------------+ || ^ || | |+------------+ +------------+ +----------------+ | 初始状态 |---->| 运行状态 |------->| 初始状态 | +------------+ +------------+ +----------------+ | | || v || +--------------------+ |+-------| 关门状态 |--------------++--------------------+在初始状态下,电梯处于停止状态。

当有请求时,电梯进入运行状态,前往相应楼层。

当到达楼层时,电梯进入开门状态,然后回到初始状态。

如果超过一段时间后没有操作(如10秒),电梯进入关门状态,然后返回初始状态。

PLC程序PLC程序设计与状态图密切相关,如下:M0 --> 延时10秒 --> M1 --> M2| | || v |+---------------> 开门 <---+M3 上行楼层 | 下行楼层| | || v |+------------------运行----+M0~M3是输入信号,表示控制器接收到的外部信号。

3 三层电梯PLC控制系统设计

3 三层电梯PLC控制系统设计

3 三层电梯PLC控制系统设计3.1 电梯的控制要求电梯由安装在各楼层厅门口的呼叫按钮进行呼叫操纵和电梯轿厢内设有楼层内选按钮进行控制,用以选择需停靠的楼层。

楼层指示灯有三个,分别指示电梯当前位置。

运行状态指示灯有两个,分别指示当前电梯运行的状态。

电梯每次运行只响应单一呼叫,例如,电梯停在一层,在三层轿厢外呼叫时,必须按三层呼叫按钮,电梯才响应呼叫(从一层运行到三层),在电梯停止运行前按其他层呼叫按钮均无效,依此类推。

3.2 三层电梯主电路图2 三层电梯主电路图图中L1、L2、L3为三相电源,三相电动机M3~为电梯轿厢上行和下行电动机,由KM7和KM8分别控制电动机正反转从而控制轿厢的上行和下行;M1、M2、M3为电梯开关门控制的直流电动机,分别由接触器KM1~KM6的触点控制;QS1为总开关(刀开关),起隔离电源作用;FU为熔断器,起短路和严重过载保护;FR为热继电器,起过载和断相保护作用。

3.3 输入输出点数分配1. 输入部分:表2 输入接口分配表X1 一层内呼 SB1 X13 一层开门到位 SQ1 X4 一层到位 SQ7 X2 二层内呼 SB2 X14 二层开门到位 SQ2 X5 二层到位 SQ8 X3 三层内呼 SB3 X15 三层开门到位 SQ3 X6 三层到位 SQ9 X23 一层外呼 SB4 X20 一层关门到位SQ4 X10 一层防夹 SQ10 X24 二层外呼 SB5 X21 二层关门到位 SQ5 X11 二层防夹 SQ11 X25 三层外呼 SB6 X22 三层关门到位 SQ6 X12 三层防夹 SQ122.输出部分:表3 输出接口分配表Y1 灯L Y14 一层关门KM4Y2 上升显示L4 Y15 二层关门KM5Y3 下降显示L5 Y16 三层关门KM6Y4 一层到位显示L1 Y13 电梯上升输出KM7Y5 二层到位显示L2 Y17 电梯下降输出KM8Y6 三层到位显示L3 Y11 二层开门KM2Y10 一层开门KM1 Y12 三层开门KM33.4 PLC外围接线图图3 PLC外围接线图3.5 功能指令表概述由于PLC是由取代继电器开始产生并发展起来的,且早期的PLC绝大部分用于顺序控制,于是许多人习惯把PLC看作是继电器、定时器、计数器的集合.把PLC的作用局限地等同于继电控制系统顺控器等,其实PLC就是工业控制计算机PLC系统具有一切计算机控制系统的功能,大型的PLC系统就是当代最先进的计算机控制系统小型的PLC由于运算速度及存贮容量的限制.功能自然稍弱。

三层电梯控制系统的设计

三层电梯控制系统的设计

三层电梯控制系统的实验设计指导老师:***学生:马小娟班级:电科092学号:*********三层电梯控制系统的设计第一节设计要求要求用FPGA设计实现一个3层电梯的控制系统。

系统的要求如下:(1)电梯运行规则:当电梯处在上升模式时,只响应比电梯所在位置高的上楼请求,由下向上逐个执行,直到最后一个上楼请求执行完毕。

如果高层有下楼请求,直接升到有下楼请求的最高楼层,然后进入下降模式。

电梯处在下降模式时,工作方式与上升模式相反。

设电梯共有3层,每秒上升或下降一层。

(2)电梯初始状态为一层,处在开门状态,开门指示灯亮。

(3)每层电梯入口处均设有上下请求开关,电梯内部设有乘客到达楼层的停站请求开关及其显示。

(4)设置电梯所处位置的指示及电梯上升或下降的指示。

(5)电梯到达有停站请求的楼层后,电梯门打开,开门指示灯亮。

开门4妙后,电梯门关闭,开门指示灯灭,电梯继续运行,直至执行完最后一个请求信号后停在当前层。

(6)电梯控制系统能记忆电梯内外的请求信号,并按照电梯运行规则工作,每个请求信号执行完毕后清除。

第二节三层电梯控制系统的功能模块及流程图电梯控制器的功能模块如图2.1所示,包括主控制器、分控制器、楼层选择器、状态显示器、译码器和楼层显示器。

乘客在电梯中选择所要到达的楼层,通过主控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层数通过译码器译码从而在楼层显示器中显示。

分控制器把有效的请求传给主控制器进行处理,同时显示电梯的运行状态和电梯所在楼层数。

由于分控制器相对简单很多,所以主控制器是核心部分。

图2.1 电梯控制器原理图第三节三层电梯控制器的具体设计本设计尝试用硬件描述语言VHDL来实现对三层电梯的控制,源程序经A1tera公司的MAX+plus II软件仿真,保证了设计的正确性。

使用VHDL进行电梯控制器的设计,主要就是对电梯软件部分的设计,使用VHDL中的逻辑关系建立电梯的升降模式,开门,关门达到动作,而外部器件的硬件设备基本上保持不变。

三层电梯控制器

三层电梯控制器

ARR<='0'; LUP<='1'; ELSIF FL3='1'THEN STATE<=UP2; ARR<='0'; LUP<='1'; END IF; ELSIF POSITION=3 THEN UPDOWN:='0'; FL3<='0'; CLEAR3<='1'; IF FL2='1'THEN STATE<=DOWN2; ARR<='0'; LDOWN<='1'; ELSIF FL1='1' THEN STATE<=DOWN1; ARR<='0'; LDOWN<='1'; END IF; ELSIF POSITION=2 THEN FL2<='0'; CLEAR2<='1'; IF UPDOWN='1' THEN IF FL3='1' THEN STATE<=UP2; ARR<='0'; LUP<='1'; ELSE STATE<=DW5; END IF; END IF; IF UPDOWN='0' THEN IF FL1='1' THEN STATE<=DOWN1; ARR<='0'; LDOWN<='1'; ELSE STATE<=DW5; END IF; END IF; END IF; WHEN DW5=> IF FL3='1' THEN

PLC课设——三层电梯控制

PLC课设——三层电梯控制

PLC课设——三层电梯控制————————————————————————————————作者:————————————————————————————————日期:江南大学物联网工程学院《电气控制及PLC》综合设计报告设计题目:专业:班级:姓名:学号:指导教师:二0一二年 6 月21 日目录1.课程设计目的 (1)2.课程设计题目及要求 (1)3。

三层电梯自动控制PLC设计 (4)3.1 控制任务的分析与输入输出点分配 (4)3.1。

1电梯的基本结构 (4)3.1.2电梯的控制任务分析 (5)3。

1。

3 电梯PLC I/O 配线表 (6)3.2 三层电梯控制原理图 (6)3。

3 S7—300的硬件组态及序 (8)3。

3.1 S7—300的硬件组态 (8)3.3.2 程序设计思路 (12)3。

4 操作说明及注意事项 (15)总结及体会 (15)参考文献 (17)1.课程设计目的课程设计的主要目的是通过某一生产设备的电气控制装置的设计实践,了解一般电气控制系统设计过程、设计要求、应完成的工作内容和具体设计方法。

通过设计也有助于复习、巩固以往所学的知识,达到灵活应用的目的。

课程设计应强调能力培养为主,在独立完成设计任务的同时,还要注意其他几方面能力的培养与提高,如独立工作能力与创造力;综合运用专业及基础知识的能力,解决实际工程技术问题的能力;查阅图书资料、产品手册和各种工具书的能力;工程绘图的能力;书写技术报告和编制技术资料的能力.本次课设通过对本课程的设计,使学生对于PLC可编程控制器有更深的了解,通过对三层电梯的控制编程使学生对于PLC编程更加熟悉,能够更深刻的理解PLC控制的精髓。

2.课程设计题目及要求题目:三层电梯PLC控制要求:1.开始时,电梯处于任意一层。

2.当有外部呼梯信号到来时,电梯响应该呼梯信号,到达该楼层时,电梯停止运行,电梯门打开,延时5S后自动关门。

3.当有内部呼梯信号到来时,电梯响应该呼梯信号,到达该楼层时,电梯停止运行,电梯门打开,延时5S后自动关门.4.在电梯运行过程中,电梯上升(或下降)途中,任何反方向下降(或上升)的外部呼梯信号均不响应,但如果反向外部呼梯信号前方向无其它内、外部呼梯信号时,则电梯响应该外号,但不响应二层向下外部呼梯信号。

(完整)三层电梯控制设计

(完整)三层电梯控制设计

(完整)三层电梯控制设计编辑整理:尊敬的读者朋友们:这里是精品文档编辑中心,本文档内容是由我和我的同事精心编辑整理后发布的,发布之前我们对文中内容进行仔细校对,但是难免会有疏漏的地方,但是任然希望((完整)三层电梯控制设计)的内容能够给您的工作和学习带来便利。

同时也真诚的希望收到您的建议和反馈,这将是我们进步的源泉,前进的动力。

本文可编辑可修改,如果觉得对您有帮助请收藏以便随时查阅,最后祝您生活愉快业绩进步,以下为(完整)三层电梯控制设计的全部内容。

综合实训设计报告信息工程与自动化学院自动化系设计题目:基于PLC的三层电梯控制系统设计姓名:学号:专业:测控121班指导老师:二0一五年七月引言随着城市建设的不断发展,城市迅速的崛起,高层建筑的不断增多,电梯作为高层建筑中垂直运行的交通工具已与人们的日常生活密不可分。

它是采用电力拖动方式,将载有乘客或货物的轿厢,运行于垂直方向的两根刚性导轨之间,运送乘客和货物的固定式提升设备。

所以,电梯是为高层建筑运输服务的设备,它具有运送速度快、安全可靠、操作简便的优点。

但传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用 PLC 组成的控制系统可以很好地解决上述问题,使电梯运行更加安全、方便、舒适。

目前电梯的控制普遍采用了两种方式,一是采用微机作为信号控制单元,完成电梯信号的采集、运行状态和功能的设定,实现电梯的自动调度和集选运行功能,拖动控制则由变频器来完成;第二种控制方式采用可编程控制器取代微机实现信号控制。

从控制方式和性能上来说,这两种方法并没有太大的区别,但PLC可靠性高,程序设计方便灵活。

1.电梯的PLC控制方式PLC是一种用于工业自动给控制的专用计算机。

实质上属于计算机控制方式。

PLC与普通微机一样,能通用或专用CPU作为字处理器,实现通道(字)的运算和数据存储,另外还有位处理器(布尔处理器),进行点(位)运算与控制.PLC控制一般具有可靠性高,易操作、维修和编程简单、灵活性强等特点。

PLC三层电梯控制设计

PLC三层电梯控制设计

1. 三层电梯PLC控制系统设计1.1实训目的本次设计是一种电梯PLC控制系统。

电梯是垂直方向的运输设备,是高层建筑中不可缺少的交通运输设备。

它靠电力,拖动一个可以载人或物的轿厢,在建筑的井道内导轨上做垂直升降运动,在人们生活中起着举足轻重的作用。

而控制电梯运行的PLC系统也要求越来越高,要求达到电梯运行的“稳、准、快”的运行目的。

该系统主要由PLC、逻辑控制电路组成。

其中包括交流异步电动机、继电器、接触器、行程开关、按钮、发光指示器和变频器组成为一体的控制系统。

整个系统通过PLC、逻辑控制电路对电梯的升降;加、减速;平层;起动、制动控制。

其结构简单、运行效率高、平层精度高、易于理解与掌握。

1.2 实训内容和控制要求工作过程:电梯由安装在各楼层厅门口的呼叫按钮进行操纵,其操纵内容为呼叫电梯、运行方向和停靠楼层。

每层楼设有呼叫按钮(一层U1,二层U2,D2,三层D3),指示灯L1指示电梯在一层与二层之间运行、L2指示在二层与三层之间运行、L3指示在三层与二层之间运行、SQ1~SQ3为到位行程开关。

电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。

输出端用输出指示灯的状态来模拟输出设备的状态。

三层楼电梯的自动控制要求如下:(1)当电梯停于1F或2F时,如果按3F按钮呼叫,则电梯上升到3F,由行程开关SQ3停止;(2)当电梯停于3F或2F时,如果按1F按钮呼叫,则电梯下降到1F,由行程开关LS1停止;(3)当电梯停于1F,如果按2F按钮呼叫,则电梯上升到2F,由行程开关LS2停止;(4)当电梯停于3F,如果按2F按钮呼叫,则电梯下降到2F,由行程开关LS2停止;(5)当电梯停于2F,而2F、3F按钮均有人呼叫时,电梯先上升到2F,由LS2控制暂停2S后,继续上升到3F,由LS3停止;(6)当电梯停于3F,而1F、2F按钮均有人呼叫时,电梯下降到2F,由LS2控制暂停2S后,继续下降到1F,由LS1停止;(7)在电梯上升途中,任何反方向的下降按钮呼叫均无效;(8)在电梯下降途中,任何反方向的上升按钮呼叫均无效;(9)每层楼之间的到达时间应在10s内完成,否则电梯停机;(10)电梯的起始位置和程序的启动、停止运行自行设计。

三层电梯的PLC控制

三层电梯的PLC控制

在现代社会中,电梯的使用非常普遍。

随着PLC 控制技术的普及,大大提高了控制系统的可靠性,减少了控制装置的体积。

(1) 当轿厢停在一楼或者二楼,如果三楼有呼叫,则轿厢上升到三楼。

(2) 当轿厢停在二楼或者三楼,如果一楼有呼叫,则轿厢下降到一楼。

(3) 当轿厢停在一楼,二楼、三楼均有人呼叫,则先到二楼,停8s 后继续上升,每层均停8s,直到三楼。

(4) 当轿厢停在三楼,一楼、二楼均有人呼叫,则先到二楼,停8s 后继续下降,每层均停8s,直到一楼。

(5) 在轿厢运行途中,如果有多个呼叫,则优先相应与当前运行方向相同的就近楼层,对反方向的呼叫进行记忆,待轿厢返回时就近停车。

(6) 在各个楼层之间的运行时间应少于10s,否则认为发生故障,应发出报警信号。

(7) 电梯的运行方向指示。

(8) 用数码管显示轿厢所在的楼层。

(9) 在轿厢运行期间不能开门。

(10) 轿厢不关门不允许运行。

根据设计要求,在该三层电梯控制系统中,输入设备均为开关量,故而输入模块选择为直流数字量输入模块;输出模块选为直流流数字量输出模块。

因此选用DI32*DC24V,DO32*DC24/0.5A,电源和CPU 模块选用实验室用的,即选用PS 307 10A 、CPU314(1)。

所选硬件如表1 所示。

表1 系统硬件配置表模块PS 307 10A CPU314(1)DI32*DC24VI 地址0…3 MPI 地址2槽号1234 固件V3.0Q 地址5 DO32*DC24/0.5A 4 (7)系统I/O 端口分配表如表2 所示。

表 2 I/O 端口地址分配表输入信号名称功能一楼上呼叫按钮SB1二楼上呼叫按钮SB2二楼下呼叫按钮SB3三楼下呼叫按钮SB4轿厢内一楼按钮SB5轿厢内二楼按钮SB6轿厢内三楼按钮SB7 轿厢内开门按钮SB8 轿厢内关门按钮SB9 一楼开门限位开关SQ1 二楼开门限位开关SQ2 三楼开门限位开关SQ3 一楼关门限位开关SQ4 二楼关门限位开关SQ5 三楼关门限位开关SQ6 一楼限位开关SQ7二楼限位开关SQ8三楼限位开关SQ9一楼关门防夹SQ10二楼关门防夹SQ11三楼关门防夹SQ12 输入端口地址I 0.0I 0.1I 0.2I 0.3I 0.4I 0.5I 0.6I 1.0I 1.1I 1.2I 1.3I 1.4I 1.5I 1.6I 1.7I 2.0I 2.1I 2.2I 2.3I 2.4I 2.5输出信号名称功能上升显示灯L1下降显示灯L2到达一楼显示灯L3到达二楼显示灯L4到达三楼显示灯L5报警器B一楼上呼叫显示L6二楼上呼叫显示L7二楼下呼叫显示L8三楼上呼叫显示L9轿厢内一楼呼叫显示L10轿厢内一楼呼叫显示L11轿厢内三楼呼叫显示L12一楼开门接触器KM1一楼关门接触器KM4二楼开门接触器KM2二楼关门接触器KM5三楼开门接触器KM3三楼关门接触器KM6电梯上升接触器KM7电梯下降接触器KM8楼层显示晶闸管a楼层显示晶闸管b楼层显示晶闸管c楼层显示晶闸管d楼层显示晶闸管e楼层显示晶闸管f楼层显示晶闸管g输出端口地址Q 4.0Q 4.1Q 4.2Q 4.3Q 4.4Q 4.5Q 5.0Q 5.1Q 5.2Q 5.3Q 5.4Q 5.5Q 5.6Q 6.0Q 6.1Q 6.2Q 6.3Q 6.4Q 6.5Q 6.6Q 6.7Q 7.0Q 7.1Q 7.2Q 7.3Q 7.4Q 7.5Q 7.6主电路图如图1 所示。

三层货梯控制系统方案

三层货梯控制系统方案

天津职业技术师大学课程设计说明书题目三层货梯控制系统学生 XXXXXXXXXXXXXXXXXXXXXXXXXXXXX班级 XXXXXXXXX系别机电系专业机械维修及检测技术教育指导老师 Z Z 老师目录1. 货梯控制系统的设计思想 (2)1.1 控制对象 (2)1.2 控制要求 (2)2 I/O地址分配 (3)3外部接线图 (4)4 控制流程图 (4)5 梯形图的绘制: (5)6 逻辑指令表: (7)7 仿真与调试 (9)8 结论: (11)9 参考文献: (11)课程设计课题:三层货梯控制系统一课程计工设作日自2013年12月30日至2014年1月10日二同组同学:三课程设计的目的和意义:1 巩固和深化已学理论知识,掌握PLC系统设计的步骤和方法,培养综合设计与能力;2 学习运用有关技术资料完成设计,提高电气控制系统设计,PLC编程调试操作技能。

四 1 任务描述:外叫按钮控制方式是电梯的一种较简单的自动控制方式。

电梯由安装在各楼层厅口的呼叫按钮进行操纵,其操纵方式为呼叫(召唤)电梯、指令运行方向和停靠楼层。

电梯上、下由一台电机驱动;电机正转,驱动电梯上升;电机反转,驱动电梯下降。

每层楼设有呼叫按钮SB1-SB3、呼叫指示灯HL1-HL3和到位行程开关SQ1-SQ3Q。

电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向呼叫均无效(简称“不可逆响应”)。

响应呼叫时,呼叫指示灯亮。

2控制要求说明如下表所示:1. 货梯控制系统的设计思想1.1 控制对象每层搂上都有到位行程开关、呼叫指示灯、呼唤按扭等。

⑴按扭层呼唤按钮SB:一层:SB1二层:SB2三层:SB3⑵开关层到位行程开关SQ:一层:SQ1二层:SQ2三层:SQ3⑶接触器上升接触器KM0下降接触器KM1⑷指示灯层呼叫指示灯HL:一层:HL1二层:HL2三层:HL3⑸继电器开门继电器:KM2关门继电器:KM31.2 控制要求(1)电梯在一层或二层时,出现三层呼唤信号SB3,同时HL3呼叫指示灯亮,电梯上升,碰到行程开关SQ3后停止在三层,同时HL3呼叫指示灯灭。

三层电梯控制电路

三层电梯控制电路

三层电梯控制电路设计一. 设计要求1. 每层电梯入口处设有上下请求开关,电梯内设有顾客到达层次的停站请求开关。

2. 设有电梯入口处位置指示装置及电梯运行模式(上升或下降)指示装置。

3. 电梯每秒升(降)一层楼。

4. 电梯到达有停站请求的楼层,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续进行,直至执行完最后一个请求信号后停留在当前层。

5. 能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。

6. 电梯运行规则一当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则相反。

7. 电梯初始状态为一层开门状态。

二. 设计目的电梯控制器是控制电梯按顾客要求自动上下的装置。

本文采用VHDL语言来设计实用三层电梯控制器,其代码具有良好的可读性和易理解性, 通过对三层电梯控制器的设计,可以发现本设计有一定的扩展性,而且可以作为更多层电梯控制器实现的基础。

三. 控制器的设计方案.控制器的功能模块如图1所示,包括主控制器、分控制器、楼层选择器、状态显示器、译码器和楼层显示器。

乘客在电梯中选择所要到达的楼层,通过主控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层数通过译码器译码从而在楼层显示器中显示。

分控制器把有效的请求传给主控制器进行处理,同时显示电梯的运行状态和电梯所在楼层数。

由于分控制器相对简单很多,所以主控制器是核心部分。

图1. 电梯控制器原理图四. 三层电梯控制器的结构体设计首先说明一下状态。

状态机设置了lO个状态,分别是电梯停留在l层(stoponl)、开门(dooropen)、关门(doorclose)、开门等待第1秒(doorwaitl)、开门等待第2秒(doorwait2)、开门等待第3秒(doorwait3)、开门等待第4秒(doorwait4)、上升(up)、下降(down)和停止(stop)。

三层电梯的电气控制及PLC实现

三层电梯的电气控制及PLC实现

课题名称:三层电梯的电气控制及PLC实现课题来源及要求:电梯运动包含电梯上下运动以及电梯门的开关运动,电梯只有处在需停的楼层,方能进行电梯门的开关控制,电梯的上下运动必须在电梯门关合好后方可运动。

课题来源:老师设计要求:1、列出所有按钮,并说明出其功能;2、电梯楼层显示系统要有;3、电梯状态要有;4、有合理的电气方案及PLC实现方案。

三层电梯的电气控制及PLC实现[正文]一、引言可编程控制器(ProgrammableLogicController,简称PLC)作为工业控制专用的计算机,由于其结构简单、性能优良,抗干扰性能好,可靠性高,编程简单,调试方便,在机械、化工、橡胶、电力、石油天然气等行业工业控制现场已日趋广泛地得到应用,成为工控现场进行实时控制的最主要的控制装置。

同时利用PLC所具有的串行通信和计算机的远程通信功能,可实现计算机对多台PLC控制装置的远程集中监控。

(一)PLC的产生与发展PLC的产生是工业发展的迫切需要和计算机技术的快速进步共同作用的结果。

传统的电气控制装置是继电器—接触器控制系统。

继电器—接触器控制系统采用的是固定接线方式,控制系统的功能决定与接线方式。

生产过程有了变动,控制系统的功能需要相应改变,这就必须重新设计接线和重新安装、调试。

随着市场竞争越来越激烈,市场对产品的需求呈现出多品种、小批量、高质量和更新换代快的特点。

与此相适应,生产工艺和生产设备的功能要随之改进,控制系统接线也要不断改造。

20世纪60年代,随着电子技术在自动控制领域中的应用,出现了由分立元件和中小规模集成电路构成的逻辑式顺序控制器,由于使用不够灵活而没能推广应用。

1968年,美国通用汽车公司(GM)为了适应汽车型号不断更新和生产工艺不断改进的需求,提出新一代控制器应具备简单、灵活和可靠等一些主要特性。

1969年,美国数字设备公司(DEC公司)据此研制出世界上第一台可编程序控制器PDP-14,并在GM公司的汽车生产线上试用成功,PLC从此诞生。

电梯控制电路完整版

电梯控制电路完整版

电梯控制电路Document serial number【NL89WT-NY98YT-NC8CB-NNUUT-NUT108】主回路1、主回路原理图2、原理说明(1)电梯开始向上启动运行时,快车接触器K吸合,向上方向接触器S吸合。

因为刚启动时接触器1A还未吸合,所以380V通过电阻电抗RQA、XQ接通电动机快车绕阻,使电动机降压起动运行。

(2)约经过2秒左右延时,接触器1A吸合,短接电阻电抗,使电动机电压上升到380V。

电梯再经过一个加速最后达到稳速快车运行状态。

(3)电梯运行到减速点时,上方向接触器S仍保持吸合,而快车K释放,1A释放,慢车M吸合。

因为此时电动机仍保持高速运转状态,电机进入发电制动状态。

如果慢车绕阻直接以380V接入,则制动力矩太强,而使电梯速度急速下降,舒适感极差。

所以必需要分级减速。

最先让电源串联电阻电抗,减小慢车线圈对快速运行电动机的制动力。

经过一定时间,接触器2A吸,短接一部分电阻,使制动力距增加一些。

然后再3A、4A也分级吸合,使电梯速度逐级过渡到稳速慢车运行状态。

(4)电梯进入平层点,S、M、2A、3A、4A同时释放,电动机失电,制动器抱闸,使电梯停止运行。

(相关资料:电动机特性曲线变化)3、动画演示安全回路1、原理图2、原理说明由整流器出来的110V直流电源,正极接通过熔断丝1RD接到02号线,负极通过熔断丝2RD接到01号线。

把电梯中所有安全部件的开关串联一起,控制电源继电器JY,只要安全部件中有任何一只起保护,将切断JY继电器线圈电源,使JY释放。

02号线通过JY继电器的常开点接到04号线,这样,当电梯正常有电时,04号与01号之间应用110V直流电,否则切断04号线,使后面所有通过04号控制的继电器失电。

串联一个电阻RY是起到一个欠电压保护。

大家知道,当继电器线圈得到110V电吸合后,如果110V电源降低到一定范围,继电器线圈仍能维持吸合。

这里,当电梯初始得电时,通过JY常闭触点(15、16)使JY继电器有110V电压吸合,JY一旦吸合,其常闭触点(15、16)立即数开,让电阻RY串入JY线圈回路,使JY在一个维持电压下吸合。

三层电梯的单片机控制电路

三层电梯的单片机控制电路

三层电梯的单片机控制电路
三层电梯的单片机控制电路是一种现代化的电梯控制系统,它通过单片机控制电路来实现对电梯的控制。

三层电梯的单片机控制电路主要由电梯控制器、电梯门控制器、电梯驱动器、电梯传感器等组成。

电梯控制器是整个电梯控制系统的核心部件,它通过单片机控制电路来实现对电梯的控制。

电梯控制器负责接收电梯外部的信号,如上行、下行、打开、关闭等命令,并根据这些命令来控制电梯的运行。

电梯门控制器是电梯控制系统中的一个重要部分,它通过单片机控制电路来控制电梯门的开关。

电梯门控制器负责接收电梯内部和外部的开门、关门命令,并根据这些命令来控制电梯门的开关。

电梯驱动器是电梯控制系统中的另一个重要部分,它通过单片机控制电路来控制电梯的运行。

电梯驱动器负责接收电梯控制器发出的信号,如上行、下行等命令,并根据这些命令来控制电梯的运行。

电梯传感器是电梯控制系统中的另一个重要部分,它通过单片机控制电路来实现对电梯运行状态的监测。

电梯传感器负责监测电梯的位置、速度、负载等参数,并将这些参数传递给电梯控制器,以便电梯控制器能够根据这些参数来对电梯进行控制。

三层电梯的单片机控制电路采用了先进的电子技术,它具有操作简单、运行稳定、安全可靠等特点。

它能够实现对电梯的精确控制,确保电梯的安全运行。

同时,它还能够提高电梯的运行效率,提高电梯的使用寿命。

三层电梯的单片机控制电路是一种先进的电梯控制系统,它通过单片机控制电路来实现对电梯的控制。

它具有操作简单、运行稳定、安全可靠等特点,能够确保电梯的安全运行,并提高电梯的运行效率,提高电梯的使用寿命。

简易三层电梯的PLC控制

简易三层电梯的PLC控制

XX学院毕业综合实践报告题目: 简易三层电梯的PLC控制类型:应用类专业: _____ _ 班级: __ ______ ____ 学生姓名: __ 指导教师: ____________ ______ 完成时间: _____________ ___毕业设计(论文)评语:毕业设计(论文)总成绩:毕业设计(论文)答辩负责人签字:年月日摘要可编程序控制器(Programmable controller)简称PLC,它的控制逻辑是由程序(软继电器)组成的,相比传统的继电器控制逻辑节省了更多的连接器件,取消了大量的中间继电器和时间继电器等控制器件,并且也大大简化了硬件接线,同时兼具计算机的功能,因此在实际生活中或工业系统控制中得到广泛应用。

而电梯的使用在日常生活中是随处可见的,用PLC系统来控制电梯的上下运行无疑是一个最佳的选择。

根据现实生活,不同的楼层人们乘搭电梯到不同的楼层去好比是一个复杂的排序游戏,但对PLC 来说只不过是一个简单的控制系统。

当将电梯在上下运行中遇到的所有情况罗列出来,然后再通过编写PLC里面的程序编制出一个控制系统,把控制系统与电梯的运行电机连接起来就能控制电梯的运行了。

关键词:PLC控制系统;三层电梯;上、下行信号;上、下行显示;数码管目录一引言 (1)1.1PLC的基本知识 (1)1.1.1 PLC的定义 (1)1.1.2 PLC的发展 (1)1.1.3 PLC的组成 (1)1.1.4 PLC工作方式 (2)二简易三层电梯的PLC控制 (2)2.1 三层电梯的控制要求 (2)2.2 控制系统的硬件组成部分 (3)2.2.1 I/O 分配表 (3)2.2.2 接线图 (4)2.3控制系统的软件组成部分 (4)2.3.1 PLC控制系统程序(梯形图) (4)2.3.2 PLC控制系统程序分析 (5)三总结PLC在简易三层电梯中的应用 (7)致谢 (8)参考文献 (9)一引言1.1 PLC的基本知识1.1.1 PLC的定义PLC是专为在工业环境下应用而设计的一种数字运算操作的电子装置,是带有存储器,可以编制程序的控制器。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

三层电梯控制电路设计.设计要求每层电梯入口处设有上下请求开关, 电梯内设有顾客到达层次的停站请设有电梯入口处位置指示装置及电梯运行模式 (上升或下降)指示装置。

电梯每秒升(降)一层楼。

电梯到达有停站请求的楼层,经过1秒电梯门打开,开门指示灯亮,开 门4秒后,电梯门关闭(开门指示灯灭),电梯继续进行,直至执行完最后一个请 求信号后停留在当前层。

5. 能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请 求信号保留至执行后消除。

6. 电梯运行规则一当电梯处于上升模式时, 楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕; 下楼请求,则相反。

7. 电梯初始状态为一层开门状态。

二. 设计目的电梯控制器是控制电梯按顾客要求自动上下的装置。

本文采用 来设计实用三层电梯控制器,其代码具有良好的可读性和易理解性 电梯控制器的设计,可以发现本设计有一定的扩展性,而且可以作为更多层电梯 控制器实现的基础。

三. 控制器的设计方案.控制器的功能模块如图1所示,包括主控制器、分控制器、楼层选择器、状 态显示器、译码器和楼层显示器。

乘客在电梯中选择所要到达的楼层, 通过主控 制器的处理,电梯开始运行,状态显示器显示电梯的运行状态, 电梯所在楼层数 通过译码器译码从而在楼层显示器中显示。

分控制器把有效的请求传给主控制器 进行处理,同时显示电梯的运行状态和电梯所在楼层数。

由于分控制器相对简单 很多,所以主控制器是核心部分。

1.求开关。

2. 3. 4. 只响应比电梯所在位置高的上如果咼层有 VHDL 语言,通过对三层 图1.电梯控制器原理图四. 三层电梯控制器的结构体设计首先说明一下状态。

状态机设置了 lO 个状态,分别是电梯停留在 l 层(stoponI)、开门(dooropen)、关门(doorclose)、开门等待第 1 秒(doorwaitl)、开门等 待第2秒(doorwait2)、开门等待第3秒(doorwait3)、开门等待第4秒(doorwait4)、 上升(up)、下降(down)和停止(stop)。

在实体说明定义完端口之后,在结构体 architecture 和begin 之间需要有如下的定义语句,来定义状态机。

在结构体中, 设计了俩个进程互相配合, 一个是状态机进程作为主要进程, 另外一个是信号灯控制进程作为辅助进程。

状态机进程中的很多判断条件是以信 号灯进程产生的信号灯信号为依据的, 而信号灯进程中信号灯的熄灭又是由状态 机进程中传出的clearup 和cleardn 信号来控制。

在状态机进程中, 在电梯的上升状态中, 通过对信号灯的判断, 决定下一个 状态是继续上升还是停止; 在电梯下降状态中, 也是通过对信号灯的判断, 决定 下一个状态是继续下降还是停止; 在电梯停止状态中, 判断是最复杂的, 通过对 信号的判断,决定电梯是上升、下降还是停止。

本设计需要完成的任务是编写 VHDL 弋码来模拟现实中的三层电梯工作。

在 点阵上显示电梯所在的楼层, 当其它楼层有上或下的请求信号时, 表示该楼层上 或下的绿色或黄色指示灯亮, 电梯开始上或下运行, 当到达该楼层时, 表示该楼 层上或下的绿色或黄色指示灯灭, 表示到达该楼层的红色指示灯亮, 层数,红色指示灯灭 。

五 . vhdl 源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;architecture behave of elevator is signal state1,state3 : std_logic; 点阵显示楼entity elevator isport( clkSignal k1,k2u,k2d,k3buttond1,d2u,d2d,d3 everyfloordoor1,door2,door3 ledr0,r1,r2,r3,r4,r5,r6,r7 segment driversa,sb,sc--Display Select endelevator; : in std_logic; --Clock : in std_logic; : out std_logic; : buffer std_logic; out --Push --Led of --door std_logic; out --7 std_logic);state2u,state2d : std_logic; doorflag : std_logic; udflag,runflag : std_logic; --up and down flag,run flag: std_logic_vector(2 downto 0); --display end if;if(k3='0' and door3='0') then state3<='1'; d3<='1';signal signal signal signalcounter dcount signal display signal locationsignal wcountcountersignal counter : std_logic_vector(7 downto 0);: std_logic_vector(1 downto 0);: std_logic_vector(10 downto 0); --wait doorcount : std_logic_vector(9 downto 0); --doorsignal col1,col2,col3,col4,col5,col6 : std_logic_vector(7 downto 0);beginprocess(clk) -- judge the key is or is not been pushed beginif(clk'event and clk='1') then if(k1='0' and door1='0') thenstate1<='1'; d1<='1';elsif(location=0 and wcount=0) then d1<='0';if(doorcount=1020) then state1<='0';end if;end if; if(k2u='0' and door2='0') then state2u<='1'; d2u<='1';elsif(location=1 and udflag='1' and wcount=0) then d2u<='0';if(doorcount=1020) then state2u<='0';end if;end if; if(k2d='0' and door2='0') then state2d<='1'; d2d<='1';elsif(location=1 and udflag='0' and wcount=0) then d2d<='0';if(doorcount=1020) then state2d<='0';end if;elsif(location=2 and wcount=0) then d3<='0';if(doorcount=1020) then state3<='0';end if;end if;end if;end process;process(clk)beginif(clk'event and clk='1') then if(location=0) then --display 1 col1<="00000001";col2<="00100001"; col3<="01111111"; col4<="11111111"; col5<="00000001";col6<="00000001";elsif(location=1) then --display 2 col1<="01100011"; col2<="11100111";col3<="10001101"; col4<="10011001"; col5<="11110011"; col6<="01100111";elsif(location=2) then --display 3 col1<="01000010"; col2<="11011011";col3<="10011001"; col4<="10011001"; col5<="11111111"; col6<="01100110";end if;end if;end process;process(clk) --accumulate dcount beginif(clk'event and clk='1') then dcount<=dcount+1;end if; end p rocess;p rocess(clk)beginif(clk'eve nt and clk='1') the nsa<=dco un t(0);sb<=dco un t(1);sc<=dco un t(2);case dco unt iswhe n "111"=>dis pl ay<="00000000";whe n others=>dis pl ayv="OOOOOOOO"; end case;end if;end p rocess;p rocess(clk) --I nbeginif(clk'eve nt and clk='1') the nrO<=dis play(7);r7<=dis play (O );end if;end p rocess; end behave; 注释:1. 本程序设计调用了 IEEE 库,IEEE 库是VHDL 设计中最为常用的库,它 包含有IEEE标准的程序包和其他一些支持工业标准的程序包。

相关文档
最新文档