数字系统的设计与实验实验报告
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
数字系统的设计与实验实验报告
1
2020年4月19日
数字系统的设计与实验
学院:
专业:
班级:
学号:
姓名
指导老师
2020年4月19日
年12月 10 日
实验一原码反码发生器
一实验目的:
1、掌握组合逻辑电路的基本设计方法。
2、学习波形仿真的方法。
3、加深对最简单的二进制原码、反码的理解,灵活运用基本的逻辑
门。
二实验内容
1、设计的电路应具备以下功能:
A.包含如下端口:
一个选择信号端口,一个8位二进制输入端口,一个原码/反码输出端口。
B. 选择信号的逻辑状态为0时输出原码;逻辑状态为1时输出反码。
2、完成电路设计。
3、对设计的正确性进行验证。
1
2020年4月19日
三实验要求
1、列出所要实现的功能的真值表。
输入端口输出端口
cin(十六进制) Fin cout
01H 0 01H
02H 1 FDH
03H 0 03H
04H 1 FBH
05H 0 05H
06H 1 F9H
07H 0 07H
08H 1 F7H
2、画出电路的逻辑图。
3、编写用VHDL语言描述的源程序。
library ieee;
use ieee.std_logic_1164.all;
entity shiyan1 is
port (cin : in std_logic_vector(7 downto 0);
2
2020年4月19日
fin : in std_logic;
cout: out std_logic_vector(7 downto 0));
end shiyan1;
architecture behave of shiyan1 is
begin
process(fin)
begin
case fin is
when '1' => cout <= not cin;
when '0' => cout <= cin;
when others => null;
end case;
end process;
end behave;
4、在MAX 软件平台上完成编译和功能仿真。
一、信号端口为0时
3
2020年4月19日