数电课程设计_电梯控制系统

合集下载

数电课程设计_电梯控制系统

数电课程设计_电梯控制系统

湖南工程学院课程设计课程名称_________ 数字电子技术课题名称简易电梯控制系统专业___________ 自动化___________ 班级___________ 1081 ___________ 学号_________ 201013110111姓名_________ 罗超超指导教师___________ 龚志鹏2012年11月23日湖南工程学院课程设计任务书课程名称:数字电子技术题目:简易电梯控制系统专业班级:自动化1081学生姓名:罗超超学号:11指导老师:龚志鹏审批:任务书下达日期2012年11月19日星期一设计完成日期2012年11月23日星期五主要设计条件1 . 提供调试用实验室;2.提供调试用实验箱和电路所需元件及芯片;3.所用设备及元件需在设计后归还。

目录一、系统总体设计方案及系统框图81.1设计方案: (8)1.2设计原理: (8)1.3系统总电路图: (9)二、....................................... 单元电路的设计112.1信号的输入,锁存及显示: (11)2.2控制编码发生器: (12)2.3系统电路的编码,数据比较及加减计数: (13)三、安装与调试步骤143.1安装步骤: (14)3.2调试步骤: (14)四、................................................ 故障分析与电路改进. (15)4.1故障分析: (15)⑴秒脉冲信号发生电路是否产生脉冲: (15)⑵数码显示管不能正常显示: (15)⑶信号灯不显示或不按仿真的状态显示: (15)4.2电路改进: (16)五、........................................... 总结与体会1617六、附录(元器件清单)、系统总体设计方案及系统框图1.1设计方案:⑴系统的时钟脉冲信号是由函数发生器发生。

时钟信号通过一定与门和与非门输入同步加减计数器74LS192N,控制其加减计数。

数电四层电梯课程设计

数电四层电梯课程设计

数电四层电梯课程设计一、课程目标知识目标:1. 学生能理解并掌握数字电路基础知识,尤其是组合逻辑电路和时序逻辑电路的原理和应用。

2. 学生能运用所学的数字电路知识,设计并实现一个四层电梯控制系统,包括电梯呼叫、指示、楼层显示等功能。

3. 学生能够解释电梯控制系统中的各种信号及其作用,如电梯运行指令、楼层检测信号等。

技能目标:1. 学生能够运用逻辑门电路设计简单的组合逻辑电路,解决实际问题。

2. 学生能够利用触发器和计数器设计时序逻辑电路,实现电梯楼层显示和运行控制。

3. 学生能够通过实验和仿真软件,测试并优化所设计的电梯控制系统,提高系统的稳定性和可靠性。

情感态度价值观目标:1. 学生通过课程学习,培养对数字电路和电梯控制技术的兴趣,激发创新精神和实践能力。

2. 学生能够认识到数字电路在现实生活中的广泛应用,增强对所学知识的认同感和责任感。

3. 学生在团队协作中,培养沟通、合作能力,提高问题解决能力和自主学习能力。

课程性质:本课程为实践性较强的课程,结合理论知识和实际操作,培养学生运用数字电路知识解决实际问题的能力。

学生特点:学生具备一定的数字电路基础知识,对电梯控制有一定了解,但对实际应用中的问题解决能力有待提高。

教学要求:注重理论与实践相结合,鼓励学生动手实践,提高学生的实际操作能力和创新能力。

通过课程学习,使学生在掌握数字电路知识的基础上,能够独立设计并实现四层电梯控制系统。

二、教学内容1. 数字电路基础知识回顾:逻辑门电路、组合逻辑电路、时序逻辑电路原理及设计方法。

教材章节:第二章 组合逻辑电路;第三章 时序逻辑电路。

2. 电梯控制系统原理介绍:电梯控制系统的工作原理、功能模块划分、信号类型及作用。

教材章节:第六章 电梯控制系统。

3. 四层电梯控制系统设计:a. 设计要求与功能需求分析。

b. 逻辑电路设计:电梯呼叫、指示灯控制、楼层显示电路设计。

c. 时序电路设计:电梯运行控制、楼层计数器设计。

电梯控制系统的课程设计

电梯控制系统的课程设计

电梯控制系统的课程设计一、课程目标知识目标:1. 学生能理解电梯控制系统的基本构成和原理,掌握电梯运行过程中涉及的关键技术。

2. 学生能够描述电梯控制系统中的传感器、执行器、控制单元等组件的作用及相互关系。

3. 学生了解电梯控制系统的安全规范和行业标准。

技能目标:1. 学生能够运用所学知识,分析并解决电梯运行过程中可能出现的故障问题。

2. 学生通过小组合作,设计并搭建一个简单的电梯控制系统模型,提高动手实践能力。

3. 学生能够运用相关软件对电梯控制系统进行仿真测试,优化系统性能。

情感态度价值观目标:1. 学生通过学习电梯控制系统,培养对现代智能交通系统的兴趣,提高科学素养。

2. 学生在课程学习中,树立安全意识,关注电梯乘坐安全问题,提高社会责任感。

3. 学生通过小组合作,培养团队协作精神,提高沟通与交流能力。

课程性质:本课程为高二年级电子技术及应用课程的一部分,旨在让学生了解电梯控制系统的基本原理,提高学生的实际操作能力和创新能力。

学生特点:高二学生在电子技术方面已有一定的基础,对实际操作和创新活动有较高的兴趣。

教学要求:结合学生的特点和课程性质,注重理论与实践相结合,提高学生的动手实践能力和解决实际问题的能力。

在教学过程中,关注学生的个体差异,鼓励学生积极参与,培养团队协作精神。

通过本课程的学习,使学生能够将所学知识应用于实际生活,提高学生的综合素质。

二、教学内容本章节教学内容主要包括以下几部分:1. 电梯控制系统概述:介绍电梯控制系统的基本概念、发展历程、应用领域及未来发展趋势。

2. 电梯控制系统组成:详细讲解电梯控制系统的各个组成部分,包括传感器、执行器、控制单元、人机交互界面等,并分析各部分的功能和相互关系。

3. 电梯控制原理:阐述电梯运行过程中的控制原理,包括速度控制、位置控制、群控系统等,结合教材案例分析实际应用。

4. 电梯控制系统设计:介绍电梯控制系统设计的基本流程、方法和注意事项,引导学生运用所学知识进行实际设计。

数字逻辑课程设计-电梯控制系统

数字逻辑课程设计-电梯控制系统

数字电路与逻辑安排之阳早格格创做课程安排报告题目:电梯统制器的安排与真止博业:班级:教号:姓名:电话:邮件:分组:完毕日期:指挥西席:团队成员:目录1课程安排概括 ............................................................................................................ .............................1.1课设手段 ............................................................................................................................1.2课设央供 ............................................................................................................................1.3课设任务 ............................................................................................................................1.4真验环境 ............................................................................................................................2基原规划安排 ............................................................................................................ .............................2.1安排需要 ............................................................................................................................2.2总体结构安排 ....................................................................................................................2.2.1电梯状态推断模块 ..........................................................................................................2.2.2电梯上下楼统制模块 ......................................................................................................2.2.3电梯启关门模块 ..............................................................................................................2.2.4电梯降落模块 ..................................................................................................................2.2.5电梯指示灯模块 ..............................................................................................................2.2.6电梯数码管隐现模块 ......................................................................................................2.3小心安排 .......................................................................................................... ..................2.3.1电梯状态推断模块 ..........................................................................................................2.3.2电梯上下楼统制模块 ....................................................................................................2.3.3电梯启关门模块 ............................................................................................................2.3.4电梯降落模块 ................................................................................................................2.3.5电梯指示灯模块 ............................................................................................................2.3.6电梯数码管隐现模块 ....................................................................................................2.3.7真验历程与调试 ..............................................................................................................2.3.8真截止 ........................................................................................................................2.3.9主要障碍与调试 ............................................................................................................1课程安排概括通过硬件形貌谈话 VHDL 的编程,深进相识并掌握可编程芯片PLD 的安排技能,加强教死对付《数字逻辑》课程所教知识概括利用的本领.培植教死创制性思维本领战独力办理本质问题的本领.(1)不妨周到天应用课程中所教的基原表里战基原要领,完毕从安排逻辑电路到安排简朴数字系统的过度.(2)本领独力思索、独力查阅资料,独力安排确定的系统.(3)不妨独力天完毕真施历程,包罗拆置、布线、尝试战排除障碍.(1)制定出小心安排规划;(2)通过 Verilog HDL 完毕确定的安排任务,而后举止编译战仿真,包管安排的精确性;(3)死成容丝图文献,下载到 Basys2 启垦板,通过本质线路举止考证;(4)对付搀纯系统的安排采与模块化、条理化的安排要领;(5)撰写安排报告,并对付存留的问题举止分解、提出矫正意睹.(1)Basys2 启垦板(芯片为 XC3S100E,启拆为 CP132)1 套;(2)Xilinx_ISE 安排仿真硬件 1 套;(3)估计机 1 台.2基原规划安排随着科教技能的死长以及都会化建制,越去越多的下楼林坐正在都会之间,对付于电梯的需要也愈收热烈.果此,一部仄安稳当的电梯渐渐成为人们热议的话题,而咱们要安排的电梯统制系统,能真止百般用户需要,而且仄安稳当,足以符合目前社会死长的央供.原电梯统制器系统包罗:1)电梯状态推断模块2)电梯上下楼统制模块3)电梯启关门模块4)电梯降落模块5)电梯指示灯模块6)电梯数码管隐现模块共六个模块.电梯分为以下四个状态:1)关门等待状态i.此时,电梯门关关且停正在某一楼,等待用户哀供.2)电梯上奇迹态i.电梯现在处于进与运奇迹态.3)电梯下奇迹态i.电梯现在处于背下运奇迹态.4)启门状态i.此时,电梯门挨启,共时举止关门倒计时.该模块用于获与电梯现在的状态,并根据用户哀供举止相映状态转化.输进:openButton——电梯启门按键powerButton——电梯电源按键targetFloor——用户哀供的目标楼层输出:liftState——电梯状态该模块用于获与用户哀供,推断电梯是可到达目标楼层以及举止计时器树坐.输进:powerButton——电梯电源键resetButton——电梯沉置按键infloorButton1——电梯里里 1 楼按键infloorButton3——电梯里里 3 楼按键 infloorButton6——电梯里里 6 楼按键 infloorButton8——电梯里里 8 楼按键outfloorButton1——电梯中部 1 楼按键outfloorButton3——电梯中部 3 楼按键outfloorButton6——电梯中部 6 楼按键outfloorButton8——电梯中部 8 楼按键liftState——电梯状态输出:targetFloor——目标楼层clkFloor——电梯楼层间运止速率clkLight——电梯运止灯runTime——电梯运止时间该模块用于处理用户启关门哀供并举止关门倒计时输进:powerButton——电梯电源按键openButton——电梯启门按键closeButton——电梯关门按键liftState——电梯状态输出:doorTime——关门时间doorState——电梯门状态doorLight——电梯门灯该模块举止电梯降下、下落处理输进:liftState——电梯状态输出:currentFloor——目前楼层2.2.5 电梯指示灯模块该模块用于电梯运止时,统制上止灯、下止灯明与灭输进:liftState——电梯状态输出:upLight——电梯上止灯ownLight——电梯下止灯runState——电梯运奇迹态该模块用于隐现电梯运止时间、关门时间、目前楼层输进:currentFloor——目前楼层runTime——运止时间doorTime——关门时间输出:eightDecode——八段数码隐现管sevenF、sevenJ、sevenM、sevenK——数码扫描管隐现2.2.7 总统制模块结合个子模块,共共真现电梯功能顶层模块——将各身材模块有机分离起去,以真止各个功能2.3.1 电梯状态推断模块原模块用于处理电梯百般运奇迹态并举止树坐2.3.2 电梯上下楼推断模块原模块用于处理用户楼层哀供、以及推断是可到达目标楼层模块 启初电源 键启关启关电梯置于 关门状态启门 键启电梯 处于关门状态是电梯置于启门状态 关电梯处于 降下状 态可可目前楼层与 目标楼层是可相等是电梯置于 关门状态电梯运止模块启初电源键是可挨启是可电梯目标楼层哀供浑空电梯计时器浑整电梯沉置按下楼层哀供只为1 楼其余楼层哀供浑整已按根据用户简曲楼层按键,树坐相映楼层哀供电梯达到指定楼层后,对付楼层哀供浑空2.3.3 电梯启关门模块原模块用于电梯停止时运止状态2.3.4 电梯降落模块原模块用于指示电梯上下运奇迹态2.3.6 电梯数码管隐现模块2.4真验历程与调试1、主模块输进:clk:时钟端powerButton:电源启关resetButton:沉置启关openButton:强制启门closeButton:强制关门infloorButton1:一楼里里启关 infloorButton3:三楼里里启关 infloorButton6:六楼里里启关infloorButton8:八楼里里启关 outfloorButton1:一楼中部启关outfloorButton3:三楼中部启关outfloorButton6:六楼中部启关outfloorButton8:八楼中部启关输出:eightDecode:七段隐现译码器 flashLight:电梯运止闪烁灯 doorLight:启门隐现灯upLight:降下指示灯floorLight1:一楼指示灯floorLight3:三楼指示灯floorLight6:六楼指示灯floorLight8:八楼指示灯sevenF,sevenJ,sevenM,sevenK:数码管扫描指示1、电梯状态推断模块仿真文献如下:module test;// Inputsreg clk; regpowerButton; regresetButton; regopenButton;r eg closeButton;r eginfloorButton1;r eg infloorButton3;r eg infloorButton6;r eg infloorButton8;r eg outfloorButton1; r eg outfloorButton3; r eg outfloorButton6; r eg outfloorButton8; // Outputs wire [7:0] eightDecode; w ire flashLight;w ire doorLight;w ire upLight;w ire downLight;w ire floorLight1;w ire floorLight3;w ire floorLight6;w ire floorLight8;w ire sevenF;w ire sevenJ;w ire sevenM;w ire sevenK;alwaysbegin#5;clk = ~clk;endalwaysbegin#5;infloorButton1 = ~infloorButton1; endalwaysbegin#10;outfloorButton3 = ~outfloorButton3; endalwaysbegin#15;outfloorButton6 = ~outfloorButton6; endalwaysbegin#20;infloorButton8 =~infloorButton8; endi nitial begin //Initialize Inputsc lk = 0;p owerButton = 1;r esetButton = 0;openButton = 0;closeButton = 0; infloorButton1 = 0; infloorButton3 = 0; infloorButton6 = 0; infloorButton8 = 0; o utfloorButton1 = 0; o utfloorButton3 = 0; o utfloorButton6 = 0; o utfloorButton8 = 0;e ndendmodule仿真截止:2、电梯上下楼推断模块仿真文献如下:module test;// Inputs regclk; regpowerButton; reg resetButton; reg openButton; reg closeButton; reg infloorButton1;reg infloorButton3; reg infloorButton6; r eg infloorButton8; r eg outfloorButton1; r eg outfloorButton3; r eg outfloorButton6;r eg outfloorButton8; // Outputs wire [7:0] eightDecode; w ire flashLight;w ire doorLight;w ire upLight;w ire downLight; w ire floorLight1; w ire floorLight3; w ire floorLight6; w ire floorLight8; w ire sevenF;w ire sevenJ;w ire sevenM;w ire sevenK;alwaysb egin#5;clk = ~clk;endalwaysbegin#5;infloorButton1 = ~infloorButton1;endalwaysbegin#15;outfloorButton3 = ~outfloorButton3;endalwaysbegin#25;outfloorButton6 = ~outfloorButton6;endalwaysbegin#35;infloorButton8 = ~infloorButton8;endi nitial begin // Initialize Inputsc lk = 0;p owerButton = 1; r esetButton = 0;o penButton = 0;c loseButton = 0;i nfloorButton1 = 0;i nfloorButton3 = 0; infloorButton6 = 0; infloorButton8 = 0; outfloorButton1 = 0; outfloorButton3 = 0; outfloorButton6 = 0; outfloorButton8 = 0; endendmodule仿真截止:3、电梯启关门模块仿真文献如下:module test;// Inputs reg clk;r egpowerButton;r eg resetButton;r eg openButton;r eg closeButton;r eginfloorButton1;r eginfloorButton3;reginfloorButton6;reginfloorButton8;regoutfloorButton1;reg outfloorButton3;regoutfloorButton6; regoutfloorButton8;// Outputs wire [7:0] eightDecode; w ire flashLight;w ire doorLight;w ire upLight;w ire downLight; w ire floorLight1; w ire floorLight3; w ire floorLight6; w ire floorLight8; w ire sevenF;w ire sevenJ;w ire sevenM;w ire sevenK;alwaysb egin#5;c lk = ~clk;e nd alwaysb egin#8;openButton =~openButton; end always #7closeButton=~closeButton; always #50 infloorButton8=~infloorButt on8;initial begin// InitializeInputs clk = 0;p owerButton = 1;r esetButton = 0;o penButton = 0;c loseButton = 0;i nfloorButton1 = 0;i nfloorButton3 = 0;i nfloorButton6 = 0;i nfloorButton8 = 0;o utfloorButton1 = 0;o utfloorButton3 = 0;o utfloorButton6 = 0;o utfloorButton8 = 0;// Wait 100 ns for global reset to finish // Add stimulushere endendmodule仿真截止:4、电梯降落模块仿真文献如下: module test;// Inputs reg clk;r egpowerButton;r eg resetButton;r eg openButton;r eg closeButton;r eginfloorButton1;r eginfloorButton3;r eginfloorButton6;r eginfloorButton8;r egoutfloorButton1;r eg outfloorButton3; r eg outfloorButton6; r eg outfloorButton8; // Outputs wire [7:0] eightDecode; w ire flashLight;w ire doorLight;w ire upLight;w ire downLight; w ire floorLight1; w ire floorLight3; w ire floorLight6; w ire floorLight8; w ire sevenF;w ire sevenJ;w ire sevenM;wire sevenK;alwaysb egin#5;c lk = ~clk;e ndalways #10infloorButton8=~infloorButton8; always #100infloorButton3=~infloorButton3; i nitial begin // InitializeInputs clk = 0;p owerButton = 1; resetButton = 0; openButton = 0;c loseButton = 0;i nfloorButton1 = 0;i nfloorButton3 = 0;i nfloorButton6 = 0;i nfloorButton8 = 0;o utfloorButton1 = 0;o utfloorButton3 = 0;o utfloorButton6 = 0;o utfloorButton8 = 0;// Wait 100 ns for global reset to finish // Add stimulus here e nd endmodule仿真截止:5、电梯指示灯模块仿真文献如下:module test;// Inputs reg clk;r egpowerButton;r eg resetButton;r eg openButton;r eg closeButton;r eginfloorButton1;r eginfloorButton3;r eginfloorButton6;r eginfloorButton8;r egoutfloorButton1;r egoutfloorButton3;r egoutfloorButton6;r egoutfloorButton8; // Outputswire [7:0]eightDecode;wire flashLight;wire doorLight;wire upLight;wire downLight; wire floorLight1; w ire floorLight3; w ire floorLight6; w ire floorLight8; w ire sevenF; w ire sevenJ; w ire sevenM; w ire sevenK; alwaysb egin#5;c lk = ~clk;e nd always b egin#20;infloorButton3 = ~infloorButton3;e ndalwaysb egin#40;infloorButton6 = ~infloorButton6;e ndalwaysbegin#80;o utfloorButton8 =~outfloorButton8; endinitial begin// InitializeInputs clk = 0;p owerButton = 1;r esetButton = 0;o penButton = 0;c loseButton = 0;i nfloorButton1 = 0;i nfloorButton3 = 0;i nfloorButton6 = 0;i nfloorButton8 = 0;o utfloorButton1 = 0;o utfloorButton3 = 0;o utfloorButton6 = 0;o utfloorButton8 = 0;// Wait 100 ns for global reset to finish // Add stimulus hereendendmodule仿真截止:6、电梯数码管隐现模块仿真文献如下:module test;// Inputs reg clk;r egpowerButton;r eg resetButton; r eg openButton; r eg closeButton; r eg infloorButton1; r eg infloorButton3; r eg infloorButton6; r eg infloorButton8; r eg outfloorButton1; r eg outfloorButton3; r eg outfloorButton6; r eg outfloorButton8;// Outputs wire [7:0] eightDecode; w ire flashLight;w ire doorLight;w ire upLight;w ire downLight; wire floorLight1; wire floorLight3; wire floorLight6; wire floorLight8;wiresevenF;wiresevenJ;w ire sevenM;w ire sevenK;alwaysb egin#5;c lk = ~clk;e ndalways #10 infloorButton6=~infloorButton6;initial begin // Initialize Inputs clk = 0; powerButton = 1;resetButton = 0; openButton = 0;closeButton = 0; infloorButton1= 0; infloorButton3 = 0;infloorButton6 = 0;infloorButton8 = 0;outfloorButton1 = 0;outfloorButton3 = 0;outfloorButton6 = 0;outfloorButton8 = 0;// Wait 100 ns for global reset to finish // Add stimulus hereendendmodule仿真截止:(1)障碍 1问题形貌::3、6二层电梯中按键已树坐上下止按键,引导电梯没有克没有及完毕如(电梯1楼,3楼下,6楼上)那样的问题办理办法:删加 3楼上下,6楼上下按键,以共背较近劣先,反背较近劣先2.5.1 电梯初初状态功能尝试图 2.5.1 电梯初初状态模块尝试功能尝试:挨启电源,隐现目前楼层为一楼.挨启强制启门启关,启门灯明,倒计时 5 秒2.5.2 电梯降下模块功能尝试图 2.5.2 电梯降下模块尝试功能尝试:如图,挨启电源,按下 6 楼按钮,6 楼指示灯明,上止指示灯明,目前楼层为 4 楼,每层楼之间举止 9 秒倒计时,此时倒计时举止至 7 秒.每层楼之间运止指示灯闪烁 9 下.3归纳与心得为了真止电梯统制器系统的功能央供,做了如下几面处事:1.前期对付顶层模块战子模块干了区分,决定了顶层模块对付子模块的统制2.每当完毕一身材模块时,便举止仿真尝试,查找错漏的场合3.后期上启垦板调试时,小心斟酌各模块安排是可合理,并根据本质情况举止建改原次课程安排,加强了对付上教期所教知识的理解,意识到理论对付真践的沉要性,也培养了战共教的接流协做能力.而设计中所存正在的缺陷,让自己意识到自己分析设计的没有足.共时,对付verilog语止的教习战运用,也让自己意识到正在编程能力仍需要提下 .附录(源步调).v 文献module main(i nput wire clk, // 时钟旗号i nput wire powerButton, // 电源启关i nput wire resetButton, //沉置启关i nput wire openButton, // 强制启门i nput wire closeButton, // 强制关门i nput wire infloorButton1, // 一楼里里启关i nput wire infloorButton3, // 三楼里里启关i nput wire infloorButton6, // 六楼里里启关i nput wire infloorButton8, // 八楼里里启关i nput wire outfloorButton1, // 一楼中部启关i nput wire outfloorButton3, // 三楼中部启关i nput wire outfloorButton6, // 六楼中部启关i nput wire outfloorButton8, // 八楼中部启关o utput reg[7:0] eightDecode, // 七段隐现译码器o utput wire flashLight, // 电梯运止闪烁灯o utput wire doorLight, // 启门隐现灯o utput reg upLight, // 降下指示灯o utput reg downLight, // 下落指示灯o utput wire floorLight1, // 一楼指示灯o utput wire floorLight3, // 三楼指示灯o utput wire floorLight6, // 六楼指示灯o utput wire floorLight8, // 八楼指示灯o utput reg sevenF,o utput reg sevenJ,o utput reg sevenM,o utput reg sevenK);r eg[3:0] currentFloor; // 电梯目前楼层r eg[3:0] targetFloor; // 目标楼层,1 代表目前楼层有哀供r eg mark; // 用于周期滞后w ire doorState; // 电梯门启关状态,0 为关门,1 为启门r eg runState; // 电梯迩去疏通状态,1 为降下,0 为下落r eg[2:0] doorTime;r eg clkFloor; // 电梯上下楼层速率r eg clkLight; // 电梯运止时指示灯闪烁频次r eg scan;r eg[3:0] runTime; //电梯运止时间隐现i nteger q,qLight,qScan,qRun;r eg[28:0] cTime;// 电梯关门计时器initial // 初初化b eginq <= 0;q Light <= 0;q Scan <= 0;q Run <= 0;c Time <= 0;r unState <= 1; // 电梯初初化降下l iftState <= 0; // 电梯初初化状态c urrentFloor <= 1; // 目前楼层初初化为 1 楼t argetFloor[0] <= 0; // 目标楼层均初初化为无哀供t argetFloor[1] <= 0;t argetFloor[2] <= 0;t argetFloor[3] <= 0;c lkFloor <= 0;c lkLight <= 0;r unTime <= 0;scan <= 0;sevenF <= 0;s evenJ <= 1;s evenM <= 1;s evenK <= 1;d oorTime <= 0;e nd// 绑定将目标楼层绑定至相映 LED 灯上a ssign floorLight1 = targetFloor[0];a ssign floorLight3 = targetFloor[1];a ssign floorLight6 = targetFloor[2];a ssign floorLight8 = targetFloor[3];a ssign doorLight = (cTime <= 286870911 || cTime == 0)? 0 : 1;a ssign doorState = (cTime <= 286870911 || cTime == 0)? 0 : 1;a ssign flashLight = clkLight;//////////////////////////////////////////////////////////////////////////// /// module -- Statue Judgealways@ (posedge clk)b eginif(powerButton == 1 && openButton == 1 && liftState == 0)beginl iftState <= 3;。

数字电子技术课程设计(四层电梯控制)

数字电子技术课程设计(四层电梯控制)

数字电子技术课程设计(四层电梯控制) 目录proteus简介 ................................................ 1 1 方案选择.................................................. 3 2 硬件电路设计 . (3)2.1 脉冲电路设计 ..................................................................... .. (3)2.2 开关电路设计 ..................................................................... .. (4)2.3 显示译码电路设计 ..................................................................... (5)2.4 电梯升降电路设计 ..................................................................... (6)2.5 主控制电路设计 ..................................................................... .................................. 8 3 软件程序设计 (8)3.1 设计流程图 ..................................................................... (8)3.2 程序代码 ..................................................................... ............................................ 10 4 仿真结果及分析 ........................................... 13 5 电路焊接与调试 ........................................... 15 附:元件清单 ............................................... 16 6 心得体会................................................. 17 7 参考文献................................................. 18 本科生课程设计成绩评定表 .. (19)《数字电子技术基础》课程设计任务书proteus简介Proteus软件是英国Labcenter electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。

数字电子技术-课程设计报告-电梯控制

数字电子技术-课程设计报告-电梯控制

题目名称:电梯控制院系:电气工程学院专业班级:电子工艺与管理学生姓名:徐小可学号: 41指导教师:孙艳完成时间:2012年11月30目录摘要 0一,设计目的及意义 (1)目的: (1)意义: (1)二,整体设计 (2)2.1 设计目标 (2)2.2 设计要求 (2)2.3 整体设计流程图 (3)三,电梯控制系统的实现 (3)3.1 实现功能 (3)3.2 实现电路 (4)3.2.1 楼层的输入电路 (4)3.2.2 555定时电路 (4)3.2.3楼层显示电路 (5)3.2.4四层电梯控制系统实现总电路 (6)3.3主要元器件介绍 (6)3.3.1 译码器 (6)3.3.2数码管 (7)3.3.3 D触发器 (8)四,实验总结 (9)4. 1 设计中主要出现的问题 (9)4.2 尚待解决的问题 (9)4. 3 心得体会 (10)摘要随着我国经济的高速发展,微电子技术、计算机技术数电技术也得到了迅速发展。

电梯是随着高层建筑的兴建而发展起来的一种垂直运输工具。

多层厂房和多层仓库需要有货梯;高层住宅需要有住宅梯;百货大楼和宾馆需要有客梯,自动扶梯等。

在现代社会,电梯已像汽车、轮船一样,成为人类不可缺少的交通运输工具。

据统计,美国每天乘电梯的人次多于乘载其它交通工具的人数。

当今世界,电梯的使用量已成为衡量现代化程度的标志之一.设计利用D触发器74LS74作为控制电梯按钮(即触发电平),经过编码器74LS148及比较器74LS85、计数器74LS192来实现其电梯控制功能。

电路使用555的定时功能来控制上一层楼或下一层楼所用的时间,利用数码管来显示所到楼层数,并用四个发光二极管来表示四层楼。

以此来设计了一个四层电梯控制系统。

关键字:D触发器74LS74;编码器74LS148;比较器74LS85;计数器74LS192;555定时器;数码管;一,设计目的及意义本设计是利用已学过的数电知识,设计的四层电梯控制系统。

数字逻辑课程设计-电梯控制系统

数字逻辑课程设计-电梯控制系统

数字电路与逻辑设计之袁州冬雪创作课程设计陈述题目:电梯节制器的设计与实现专业:班级:学号:姓名:电话:邮件:分组:完成日期:指导教员:团队成员:1课程设计概述 ..................................................... ........................................................ ............................1.1课设目标 ............................................................................................................................1.2课设要求 ............................................................................................................................1.3课设任务 ............................................................................................................................1.4实验环境 ............................................................................................................................2基本方案设计 ..................................................... ........................................................ ............................2.1设计需求 ............................................................................................................................2.2总体布局设计 ....................................................................................................................2.2.1电梯状态断定模块 ..........................................................................................................2.2.2电梯上下楼节制模块 ......................................................................................................2.2.3电梯开关门模块 ..............................................................................................................2.2.4电梯升降模块 ..................................................................................................................2.2.5电梯指示灯模块 ..............................................................................................................2.2.6电梯数码管显示模块 ......................................................................................................2.3详细设计 .................................................... ....................................................... .................2.3.1电梯状态断定模块 ..........................................................................................................2.3.2电梯上下楼节制模块 ....................................................................................................2.3.3电梯开关门模块 ............................................................................................................2.3.4电梯升降模块 ................................................................................................................2.3.5电梯指示灯模块 ............................................................................................................2.3.6电梯数码管显示模块 ....................................................................................................2.3.7实验过程与调试 ..............................................................................................................2.3.8真成果 ........................................................................................................................2.3.9主要故障与调试 ............................................................................................................1课程设计概述通过硬件描绘语言 VHDL 的编程,深入懂得并掌握可编程芯片 PLD 的设计技术,加强学生对《数字逻辑》课程所学知识综合操纵的才能.培养学生创造性思维才能和独立处理实际问题的才能.(1)可以全面地应用课程中所学的基本实际和基本方法,完成从设计逻辑电路到设计简单数字系统的过渡.(2)才能独立思考、独立查阅资料,独立设计规定的系统.(3)可以独登时完成实施过程,包含装置、布线、测试和解除故障.(1)制定出详细设计方案;(2)通过 Verilog HDL 完成规定的设计任务,然后停止编译和仿真,包管设计的正确性;(3)生成容丝图文件,下载到 Basys2 开辟板,通过实际线路停止验证;(4)对复杂系统的设计采纳模块化、条理化的设计方法;(5)撰写设计陈述,并对存在的问题停止分析、提出改进意见.(1)Basys2 开辟板(芯片为 XC3S100E,封装为 CP132)1 套;(2)Xilinx_ISE 设计仿真软件 1 套;(3)计算机 1 台.2基本方案设计随着迷信技术的发展以及城市化建设,越来越多的高楼林立在城市之间,对于电梯的需求也愈发强烈.因此,一部平安靠得住的电梯逐渐成为人们热议的话题,而我们要设计的电梯节制系统,能实现各种用户需求,而且平安靠得住,足以适应当前社会发展的要求.本电梯节制器系统包含:1)电梯状态断定模块2)电梯上下楼节制模块3)电梯开关门模块4)电梯升降模块5)电梯指示灯模块6)电梯数码管显示模块共六个模块.电梯分为以下四个状态:1)关门等待状态i.此时,电梯门关闭且停在某一楼,等待用户请求.2)电梯上行状态i.电梯此刻处于向上运行状态.3)电梯下行状态i.电梯此刻处于向下运行状态.4)开门状态i.此时,电梯门打开,同时停止关门倒计时.该模块用于获取电梯此刻的状态,并根据用户请求停止相应状态转变.输入:openButton——电梯开门按键powerButton——电梯电源按键targetFloor——用户请求的方针楼层输出:liftState——电梯状态该模块用于获取用户请求,断定电梯是否到达方针楼层以及停止计时器设置.输入:powerButton——电梯电源键resetButton——电梯重置按键infloorButton1——电梯外部 1 楼按键infloorButton3——电梯外部 3 楼按键 infloorButton6——电梯外部 6 楼按键 infloorButton8——电梯外部 8 楼按键outfloorButton1——电梯外部 1 楼按键outfloorButton3——电梯外部 3 楼按键outfloorButton6——电梯外部 6 楼按键outfloorButton8——电梯外部 8 楼按键liftState——电梯状态输出:targetFloor——方针楼层clkFloor——电梯楼层间运行速率clkLight——电梯运行灯runTime——电梯运行时间该模块用于处理用户开关门请求并停止关门倒计时输入:powerButton——电梯电源按键openButton——电梯开门按键closeButton——电梯关门按键liftState——电梯状态输出:doorTime——关门时间doorState——电梯门状态doorLight——电梯门灯该模块停止电梯上升、下降处理输入:liftState——电梯状态输出:currentFloor——当前楼层2.2.5 电梯指示灯模块该模块用于电梯运行时,节制上行灯、下行灯亮与灭输入:liftState——电梯状态输出:upLight——电梯上行灯ownLight——电梯下行灯runState——电梯运行状态该模块用于显示电梯运行时间、关门时间、当前楼层输入:currentFloor——当前楼层runTime——运行时间doorTime——关门时间输出:eightDecode——八段数码显示管sevenF、sevenJ、sevenM、sevenK——数码扫描管显示2.2.7 总节制模块结合个子模块,共同实现电梯顶层模块——将各个子模块有机连系起来,以实现各个功能2.3.1 电梯状态断定模块本模块用于处理电梯各种运行状态并停止设置2.3.2 电梯上下楼断定模块本模块用于处理用户楼层请求、以及断定是否到达方针楼层模块 开端电源 键开关开关电梯置于 关门状态开门 键开电梯 处于关门状态是电梯置于开门状态 关电梯处于 上升状 态否否当前楼层与 方针楼层是否相等是电梯置于 关门状态电梯运行模块开端电源键是否打开是否电梯方针楼层请求清空电梯计时器清零电梯重置按下楼层请求只为1 楼其他楼层请求清零未按根据用户详细楼层按键,设置相应楼层请求电梯达到指定楼层后,对应楼层请求清空2.3.3 电梯开关门模块本模块用于电梯运动时运行状态2.3.4 电梯升降模块本模块用于指示电梯上下运行状态2.3.6 电梯数码管显示模块2.4实验过程与调试1、主模块输入:clk:时钟端powerButton:电源开关resetButton:重置开关openButton:强制开门closeButton:强制关门infloorButton1:一楼外部开关 infloorButton3:三楼外部开关infloorButton6:六楼外部开关 infloorButton8:八楼外部开关outfloorButton1:一楼外部开关outfloorButton3:三楼外部开关outfloorButton6:六楼外部开关outfloorButton8:八楼外部开关输出:eightDecode:七段显示译码器 flashLight:电梯运行闪烁灯 doorLight:开门显示灯upLight:上升指示灯floorLight1:一楼指示灯floorLight3:三楼指示灯floorLight6:六楼指示灯floorLight8:八楼指示灯sevenF,sevenJ,sevenM,sevenK:数码管扫描指示1、电梯状态断定模块仿真文件如下:module test;// Inputsreg clk;regpowerButton; regresetButton; regopenButton;r eg closeButton; r eg infloorButton 1; reg infloorButton 3; reg infloorButton 6; reg infloorButton 8; reg outfloorButto n1; reg outfloorButto n3; reg outfloorButto n6; regoutfloorButton8;// Outputsw ire [7:0] eightDecode; wire flashLight; w ire doorLight; w ire upLight;w ire downLight; w irefloorLight1;w irefloorLight3;w irefloorLight6;w irefloorLight8;w ire sevenF;w ire sevenJ;w ire sevenM;w ire sevenK;alwaysbegin#5;clk = ~clk;endalwaysb egin#5;infloorButton1 = ~infloorButton1; endalwaysb egin#10;outfloorButton3 = ~outfloorButton3; endalwaysb egin#15;outfloorButton6 = ~outfloorButton6; endalwaysb egin#20;infloorButton8 =~infloorButton8; endi nitial begin// InitializeInputs clk= 0;powerButton = 1;resetButton = 0;openButton = 0;closeButton = 0;infloorButton1= 0;infloorButton3= 0;infloorButton6= 0;infloorButton8= 0;o utfloorButton1 = 0;o utfloorButton3 = 0;o utfloorButton6 = 0;o utfloorButton8 = 0; endendmodule仿真成果:2、电梯上下楼断定模块仿真文件如下:module test; // Inputsr eg clk;r eg powerButton; reg resetButton; reg openButton; reg closeButton; reg infloorButto n1;reg infloorButton3; reginfloorButton6; r eginfloorButton8; r eg outfloorButton 1; reg outfloorButton 3; reg outfloorButton 6; reg outfloorButton 8;// Outputsw ire [7:0]eightDecode; wire flashLight; w ire doorLight; w ire upLight;w ire downLight; w irefloorLight1;w irefloorLight3;w irefloorLight6;w irefloorLight8;w ire sevenF;w ire sevenJ;w ire sevenM;w ire sevenK;alwaysb egin#5;clk = ~clk;endalwaysbegin#5;infloorButton1 = ~infloorButton1;endalwaysb egin#15;outfloorButton3 = ~outfloorButton3;endalwaysb egin#25;outfloorButton6 = ~outfloorButton6; endalwaysb egin#35;infloorButton8 = ~infloorButton8; endi nitial begin// InitializeInputs clk =0;p owerButton = 1;r esetButton = 0;o penButton = 0;c loseButton = 0;i nfloorButton1 =0;i nfloorButton3 =0;infloorButton6 =0;infloorButton8 =0;outfloorButton1= 0;outfloorButton3= 0;outfloorButton6= 0;outfloorButton8= 0;endendmodule仿真成果:3、电梯开关门模块仿真文件如下:module test;// Inputsr eg clk;r egpowerButton;r egresetButton;r egopenButton;r egcloseButton;r eginfloorButton1; reg infloorButton3; reg infloorButton 6; reg infloorButton 8; reg outfloorButto n1; reg outfloorButto n3;regoutfloorButton6; regoutfloorButton8;// Outputsw ire [7:0] eightDecode;wire flashLight; w ire doorLight; w ire upLight;w ire downLight; w irefloorLight1;w irefloorLight3;w irefloorLight6;w irefloorLight8;w ire sevenF;w ire sevenJ;w ire sevenM;w ire sevenK;alwaysb egin#5;clk = ~clk;e ndalwaysb egin#8;openButton =~openButton; endalways #7closeButton=~closeButton; always #50infloorButton8=~infloorButton8;initial begin// InitializeInputs clk= 0;p owerButton = 1;r esetButton = 0;o penButton = 0;c loseButton = 0;i nfloorButton1 = 0;i nfloorButton3 = 0;i nfloorButton6 = 0;i nfloorButton8 = 0;o utfloorButton1 = 0;o utfloorButton3 = 0;o utfloorButton6 = 0;o utfloorButton8 = 0;// Wait 100 ns for global reset to finish// Add stimulus here end endmodule仿真成果:4、电梯升降模块仿真文件如下: module test;// Inputsr eg clk;r egpowerButton;r egresetButton;r egopenButton;r egcloseButton;r eg infloorButton 1; reg infloorButton 3; reg infloorButton 6; reg infloorButton 8; reg outfloorButto n1; reg outfloorButto n3; reg outfloorButto n6; reg outfloorButto n8;// Outputsw ire [7:0] eightDecode; wire flashLight; w ire doorLight; w ire upLight;w ire downLight; w irefloorLight1;w irefloorLight3;w irefloorLight6;w irefloorLight8;w ire sevenF;w ire sevenJ;w ire sevenM;wire sevenK;alwaysb egin#5;c lk = ~clk;e ndalways #10infloorButton8=~infloorButton8; always #100infloorButton3=~infloorButton3; initial begin // Initialize Inputsc lk = 0; powerButton =1; resetButton = 0;o penButton = 0;c loseButton = 0;i nfloorButton1 = 0;i nfloorButton3 = 0;i nfloorButton6 = 0;i nfloorButton8 = 0;o utfloorButton1 = 0;o utfloorButton3 = 0;o utfloorButton6 = 0;o utfloorButton8 = 0;// Wait 100 ns for global reset to finish // Add stimulus here end endmodule仿真成果:5、电梯指示灯模块仿真文件如下:module test;// Inputsr eg clk;r eg powerButton; r eg resetButton; r eg openButton; r eg closeButton; r eg infloorButton 1; reg infloorButton 3; reg infloorButton 6; reg infloorButton8; regoutfloorButton1; regoutfloorButton3; regoutfloorButton6; regoutfloorButton8;// Outputswire [7:0]eightDecode;wireflashLight;wiredoorLight;wire upLight;wiredownLight;wirefloorLight1;w irefloorLight3;w irefloorLight6;w irefloorLight8;wire sevenF;wire sevenJ;wire sevenM;wire sevenK;alwaysb egin#5;clk = ~clk;e ndalwaysb egin#20;infloorButton3 = ~infloorButton3;e ndalwaysb egin#40;infloorButton6 = ~infloorButton6;e ndalwaysbegin#80;o utfloorButton8 =~outfloorButton8; endinitial begin// InitializeInputs clk= 0;p owerButton = 1;r esetButton = 0;o penButton = 0;c loseButton = 0;i nfloorButton1 = 0;i nfloorButton3 = 0;i nfloorButton6 = 0;i nfloorButton8 = 0;o utfloorButton1 = 0;o utfloorButton3 = 0;o utfloorButton6 = 0;o utfloorButton8 = 0;// Wait 100 ns for global reset to finish // Add stimulus hereendendmodule仿真成果:6、电梯数码管显示模块仿真文件如下:module test;// Inputsr eg clk;r egpowerButton;r egresetButton;r egopenButton;r egcloseButton;r eginfloorButton1; reg infloorButton 3; reg infloorButton 6; reg infloorButton 8; reg outfloorButto n1; reg outfloorButto n3; reg outfloorButto n6; reg outfloorButto n8;// Outputs w ire [7:0]eightDecode; wire flashLight; w ire doorLight; w ire upLight;w ire downLight; wirefloorLight1;wirefloorLight3;wirefloorLight6;wirefloorLight8;wiresevenF;wiresevenJ;w ire sevenM;w ire sevenK;alwaysb egin#5;c lk = ~clk;e ndalways #10infloorButton6=~infloorButton6; initial begin //Initialize Inputs clk = 0;powerButton = 1;resetButton = 0;openButton = 0;closeButton = 0;infloorButton1 = 0;infloorButton3 = 0;infloorButton6 = 0;。

PLC课程设计(三层电梯控制系统)

PLC课程设计(三层电梯控制系统)

PLC课程设计(三层电梯控制系统)系统介绍本篇文档将介绍一个基于PLC的三层电梯控制系统,包括系统的架构、PLC程序设计及硬件实现。

系统架构三层电梯控制系统由三部分组成:电梯控制器、上行电梯和下行电梯。

系统的架构如下图所示:+--------------+| || 控制器(PLC)+----> 上行电梯| |+--------------+||+----------> 下行电梯PLC程序设计状态图PLC程序设计基于电梯的状态图,如下所示:+--------------------++------>| 开门状态 |<-------------+| +--------------------+ || ^ || | |+------------+ +------------+ +----------------+ | 初始状态 |---->| 运行状态 |------->| 初始状态 | +------------+ +------------+ +----------------+ | | || v || +--------------------+ |+-------| 关门状态 |--------------++--------------------+在初始状态下,电梯处于停止状态。

当有请求时,电梯进入运行状态,前往相应楼层。

当到达楼层时,电梯进入开门状态,然后回到初始状态。

如果超过一段时间后没有操作(如10秒),电梯进入关门状态,然后返回初始状态。

PLC程序PLC程序设计与状态图密切相关,如下:M0 --> 延时10秒 --> M1 --> M2| | || v |+---------------> 开门 <---+M3 上行楼层 | 下行楼层| | || v |+------------------运行----+M0~M3是输入信号,表示控制器接收到的外部信号。

数电课程设计电梯自动控制系统.doc

数电课程设计电梯自动控制系统.doc

数电课程设计-电梯自动控制系统1《数字逻辑》课程设计学院:计算机与信息学院参考资料:图书馆藏书和课本2010年7月2日计算机与信息学院、计算机系统结构研究所1、目的(1)让学生掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;(2)进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力;(3)初步掌握使用EDA(电子设计自动化)工具设计数字逻辑电路的方法,包括设计输入、编译、软件仿真、下载和硬件仿真等全过程;(4)经过查资料、选方案、设计电路、撰写设计报告、使学生得到一次较全面的工程实践训练,通过理论联系实际,提高和培养创新能力,为后续课程的学习,毕业设计,毕业后的工作打下基础。

2.课程设计题目高楼电梯自动控制系统设计任务和基本要求:(1)系统控制的电梯往返于1-9层楼。

(2)乘客要去的楼层数可手动输入并显示(设为A数)。

(3)电梯运行的楼层数可自动显示(设为B数)。

(4)当A>B时,系统能输出使三相电机正转的时序信号,使电梯上升;当A当A=B时,系统能输出使三相电机停机的信号,使电梯停止运行并开门;(5)梯是上升还是下降各层电梯门外应有指示,各层电梯门外应有使电梯上升或下降到乘客所在楼层的控制开关。

3.所用主要器件和设备(1)数值比较器74LS85 一片;(2)十进制可逆计数器74LS192 一片;(3)七段数字译码显示系统(4)十进制至二进制普通编码器4.设计思想步骤实验思想刚开始的实现是分模块的,然后在每个模块的功能都实现之把它们连接起来,分为三个模块,即输入的二进制到十进制的数值编码转换模块、数值比较模块和可逆计数模块。

二进制到十进制的数值编码转换模块包括楼层选择器、状态显示器、译码器和楼层显示器。

乘客在电梯中选择所要到达的楼层,选择楼层是通过开关控制输入的,为了是所想要去的楼层数更加直观的显示出来,将开关控制数入关又一次按高地位接到七段显示系统上,然后用十进制的方式显示出来。

数字电子技术课程设计简易电梯控制系统

数字电子技术课程设计简易电梯控制系统

目录一、电路系统总体设计方案以及设计原理二、系统总电路图三、单元电路(子模块、子程序)分析四、电路的安装和调试五、元件清单六、总结与体会课程设计的任务要求1.1、任务:设计一个可用于四层楼的简易电梯控制系统,楼层标记用0-3表示。

要求具有以下功能:(1)假设每次只有一层楼有呼叫,按下呼叫按钮后,呼叫楼层指示灯亮,电梯将从当前楼层运行到呼叫楼层,指示灯熄灭;(2)当电梯超重时,报警灯亮,电梯拒绝运行;(3)系统能手动复位,复位后,电梯停在第0层;(4)可根据实际情况,设计其它功能。

1.2、要求:(1)思路清晰,给出整体设计框图和总电路图;(2)单元电路设计,给出具体设计思路和电路;(3)写出设计报告。

一、电路系统总体设计方案以及设计原理1.1、设计思路和方案(1)主体思路:此电路系统核心元器件为74ls192芯片,我们通过利用与非门和非门以及其他的芯片来实现由系统中25HZ的函数发生器产生的时钟脉冲信号来控制74ls192芯片的加减计数功能。

(2)此电路系统分为两个模块,上半部分由开关、显示器、74ls148芯片、74ls85芯片、74ls192芯片、与非门和非门所组成,用以控制简易电梯的用开关控制的要去的楼层显示以及楼层升降显示(相比前一个显示器有延时,由函数发生器的脉冲信号决定)。

并且能通过74ls192芯片的置数功能管脚来实现电梯楼层的置零功能。

第二个模块即下半部分由单次脉冲源、显示器、74ls192芯片、74ls00芯片、非门和发光二极管所组成,用以控制实现简易电梯的超重报警功能,由单次脉冲源(有上升沿与下降沿)来表示电梯里面人数的增减,依旧还是以74ls192芯片来控制加减计数功能。

电路系统中设置为电梯中超过四人便会有超重报警,此时发光二极管便会发光,同时第二模块的信号通过一个非门使得第一模块的74ls192芯片停止置数,换而实现保持功能,电梯就停止运作,不再上下楼层。

(3)两个模块均由25HZ的函数发生器的脉冲信号实现功能。

数电实验报告-简易三层电梯控制器

数电实验报告-简易三层电梯控制器

数字电路与逻辑设计实验简易电梯控制器实验报告电子工程学院2010211205班张阗目录一、功能设计 (3)1、电梯运行规则 (3)2、实验板硬件实现 (3)二、程序架构 (4)1、分频模块 (5)2、状态机模块 (5)3、寄存器模块 (6)4、外部显示模块 (6)三、程序代码 (7)四、结果仿真 (17)五、实验心得 (20)一、功能设计1、电梯运行规则电梯初始状态为一层开门状态。

电梯外部设有1层请求上升、2层请求下降、2层请求上升、3层请求下降按钮;内部设有1层到达、2层到达、3层到达、关门按钮。

可显示电梯当前所在楼层、外部请求楼层、内部请求楼层。

可现实上升或下降状态。

电梯每秒上升(下降)一层楼。

电梯到达需要停止的楼层,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续运行,直至执行完最后一个请求信号后停留在当前层。

电梯需要寄存器来记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。

当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号和停站请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则直接上升到有下楼请求的最高层,然后进入下降模式。

当电梯处于下降模式时则与上升模式相反。

2、实验板硬件实现(1)控制部分(输入):(2)显示部分(输出):二、程序架构图1 程序架构1、分频模块外部时钟频率为50M,通过分频模块后得到不同功能对应的频率,作为其他模块的时钟输入,包括:状态机模块中的电梯控制时钟、寄存器模块中的按键保存时钟、外部显示模块中的数码管扫描时钟和点阵扫描时钟。

2、状态机模块状态机模块包括十个状态,分别为:stopon1(位于1层),dooropen(开门),doorclose(关门),doorwait1(开门等待第1秒),doorwait2(开门等待第2秒),doorwait3(开门等待第3秒),doorwait4(开门等待第4秒),up(上升),down(下降),stop(停止)。

数字逻辑课程设计-电梯控制系统【范本模板】

数字逻辑课程设计-电梯控制系统【范本模板】

华中科技大学课程设计报告数字电路与逻辑设计课程设计报告题目:电梯控制器的设计与实现专业:班级:学号:姓名:电话:邮件:分组:完成日期:指导教师:华中科技大学课程设计报告团队成员:姓名班级学号贡献百分比实验部分完成情况(亮点、完成、基本完成、未完成、时间)总分:实验部分70% + 报告30%)检查老师签名:华中科技大学课程设计报告目录1课程设计概述。

.。

..。

.。

.。

.。

...。

.。

.。

.。

.。

...。

...。

.。

.。

..。

..。

.。

..。

.。

.。

..。

...。

.....。

..。

..。

..。

..。

...。

.。

....。

.。

.。

..。

..。

.。

.。

.。

..1.1课设目的。

..。

.。

.。

.。

...。

.。

..。

..。

...。

.。

.。

.。

.....。

.。

..。

..。

..。

.。

.。

...。

.....。

...。

..。

.。

...。

.。

..。

.。

.........。

...。

...。

1.2课设要求 .。

...。

..。

.。

..。

.。

.。

.。

.....。

....。

..。

...。

..。

.。

...。

.。

..。

.。

..。

.。

.。

.。

.。

..。

.。

.。

.。

....。

...。

.。

...。

...1.3课设任务。

..。

..。

.。

....。

.。

.。

..。

.....。

.。

.。

.。

.。

..。

.。

.。

.。

.。

...。

..。

.。

.。

.。

.。

.。

.。

...。

.。

.。

...。

.。

.。

.。

.。

....。

.。

.。

1.4实验环境 .。

....。

.。

..。

.。

.。

.....。

...。

.。

.。

.。

.。

.。

..。

.。

.。

.。

...。

.。

.。

..。

.。

.。

..。

.。

.。

.。

.....。

..。

.。

..。

.。

.。

..。

.。

.。

.。

2基本方案设计 ..。

.。

..。

.。

....。

.。

.。

.。

...。

...。

.。

..。

.。

...。

..。

.。

.。

.。

.。

.....。

.........。

.。

..。

...。

..。

..。

...。

.。

.。

...。

....。

2.1设计需求。

...。

数字电路课程设计报告——三层电梯

数字电路课程设计报告——三层电梯

数字电路课程设计报告——三层电梯控制器姓名:李世刚班级:计122—3学号:201258502314指导老师:王玲玲2012年12月23日目录一、设计内容 (1)1、简要说明 (2)2、任务和要求 (2)二、设计方案及总体功能 (2)三、各部分具体设计 (4)四、我的设想 (12)五、总结 (12)一、设计内容本次设计的是三层电梯控制器:1、简要说明:电梯控制器是控制电梯按顾客的要求自动上下的装置。

2、任务和要求:(1)每层电梯入口处设有上下请求开关,电梯内设有乘客到达层次的停战请求开关。

(2)设有电梯所处位置指示装置及电梯运行模式(上升或下降或等待)指示装置。

(3)电梯每秒升(降)一层楼。

(4)电梯到达有停站请求的楼层后,经一秒电梯楼门打开,开门指示灯亮,开门四秒后,电梯门关闭(开门指示灯灭),电梯继续运行,直至执行完最后一个请求信号后停在当前层。

(5)能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除(6)电梯运行规则一当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则相反。

(7)电梯初始状态为一层开门状态。

二、设计方案及总体功能本设计的总体框图如图1-1所示:图1-1 总体框图本控制器的功能模块主要包括控制器、状态显示器,译码器,楼层显示器等。

乘客在电梯中选择所要到达的楼层,通过控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层数通过译码器从而在楼层显示器中显示。

电梯控制器原理图三、各部分具体设计1、模块arc见图1-2。

该模块是整个控制器的核心。

其主要的的设计思想是将电梯的状态划分为四个:一层状态(c1),二层状态(c2),三层状态(c3)及开门状态(kai)。

对于每一个状态分析其所有的可能。

图1-3本模块的程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity arc isport( u1,u2,d2,d3,k1,k2,k3,clk:in std_logic;site:out std_logic_vector(3 downto 1);a1,a2,a3,mode,door,ur1,ur2,dr2,dr3:out std_logic);end arc;architecture behave of arc istype state_type is(c1,c2,c3,kai);beginprocess(clk)variable u,d,s,f:std_logic_vector(3 downto 1);variable m,a,b,n:std_logic;variable cnt1,cnt2:integer;variable state :state_type;beginif clk'event and clk='1' thenif u1='0' thenu(1):='1';ur1<='1';end if;if u2='0' thenu(2):='1';ur2<='1';end if;if d2='0' thend(2):='1';dr2<='1';end if;if d3='0' thend(3):='1';dr3<='1';end if;if k1='0' thens(1):='1';end if;if k2='0' thens(2):='1';end if;if k3='0' thens(3):='1';end if;if cnt1<1000 thencnt1:=cnt1+1;elsecase state iswhen c1 =>f:="001";m:='0';if u/="000" or d/="000" or s/="000" thena:='1';elsea:='0';end if;if n='0' thendoor<='1';elsedoor<='0';if s(1)='1' or u(1)='1' thenstate:=kai;s(1):='0';u(1):='0';elsif u(2)='1' or s(2)='1' thenstate:=c2;elsif d(3)='1'or s(3)='1' thenstate:=c2;b:='1';elsif d(2)='1' thenstate:=c2;m:='1';end if;end if;when c2=>f:="010";if m='0' thenif s(2)='1' or u(2)='1' thenstate:=kai;s(2):='0';u(2):='0';elsif d(3)='1'or s(3)='1' or b='1' thenstate:=c3;b:='0';elsif d(2)='1' or u(1)='1' or s(1)='1' thenm:='1';end if;end if;if m='1' thenif d(2)='1' or s(2)='1' thenstate:=kai;d(2):='0';s(2):='0';elsif u(1)='1' or s(1)='1' or n='1' thenstate:=c1;n:='0';elsif u(2)='1' or d(3)='1' or s(3)='1' thenm:='0';end if;end if;when c3=>m:='1';f:="100";if s(3)='1' or d(3)='1' thenstate:=kai;s(3):='0';d(3):='0';elsif d(2)='1' or s(2)='1' thenstate:=c2;elsif u(1)='1' or s(1)='1' thenstate:=c2;n:='1';elsif u(2)='1' thenstate:=c2;m:='0';end if;when kai=>door<='1';if cnt2<3 thencnt2:=cnt2+1;elsedoor<='0';cnt2:=0;if f="001" thenstate:=c1;elsif f="010" thenstate:=c2;elsif f="100" thenstate:=c3;end if;end if;end case;cnt1:=0;end if;end if;site<=f;a1<=s(1);a2<=s(2);a3<=s(3);mode<=m;end process;end behave;2、计数器模块cnbt,在时钟的驱动下,实现了对数码管的动态扫描及数据的选择。

数电课程设计--电梯控制电路

数电课程设计--电梯控制电路

数电课程设计--电梯控制电路
电梯控制电路是一种能够应用于控制楼层和活动方式的电子电路,它可以连接梯状设
施和机械部件,从而使用户能舒适、安全、方便地改变楼层或移动。

控制电路包括一系列
输入部件,如按钮和断路器,它们可以输入信号,来控制电梯运行。

电梯控制电路原理一般是通过一系列电路模块来实现的,包括输入部件、逻辑控制电
路和输出部件。

输入部件将用户的操控信号输入到电路模块中,比如按钮、断路器等。

接着,逻辑控制电路根据信号进行分析处理,从而决定电梯运行方向和到达目标位置。

最后,由输出部件接收处理后的信号,调节电梯设备的运行,使电梯达到用户指定的楼层和位置。

电梯控制电路的实际应用中,一种常见的输入设备是按钮。

按钮可以指定电梯运行方向,以及用户想要到达的楼层和位置,而断路器则是连接电源的开关,同时也可以控制电
梯的运行状态。

此外,接口单元也是控制电路中不可缺少的部件,它不仅可用于连接各种
传感器和电机,还可对信号进行预处理和采样,从而实现安全性。

为了保证电梯的运行安全,控制电路通常需要连接继电器、接触器或控制台,来保证
电梯只能从合理的位置移动,且可以精确地控制电梯的每一步运行,最大限度地减少安全
隐患。

总的来说,电梯控制电路可以实现电梯的安全有效的操作,提高安全性和质量,为
客户提供更好的体验。

电梯控制系统课程设计

电梯控制系统课程设计

电梯控制系统课程设计一、课程目标知识目标:1. 让学生理解电梯控制系统的基础知识,掌握其工作原理和关键部件的功能。

2. 使学生掌握电梯控制系统的设计流程,了解不同类型电梯的控制策略。

3. 帮助学生了解电梯控制系统相关的安全规范和标准。

技能目标:1. 培养学生运用所学知识分析和解决实际电梯控制问题的能力。

2. 提高学生设计电梯控制系统的方案并进行模拟调试的能力。

3. 培养学生查阅资料、团队协作和沟通表达的能力。

情感态度价值观目标:1. 培养学生对电梯控制系统及工程技术领域的兴趣,激发他们的求知欲。

2. 培养学生严谨认真、一丝不苟的科学态度,提高他们的工程素养。

3. 引导学生关注电梯安全,增强社会责任感和使命感。

分析课程性质、学生特点和教学要求,将课程目标分解为以下具体学习成果:1. 学生能够描述电梯的基本结构、工作原理及关键部件的作用。

2. 学生能够根据实际需求,设计合适的电梯控制方案并进行模拟调试。

3. 学生能够通过查阅资料、团队协作,撰写电梯控制系统设计报告。

4. 学生能够在课堂上积极参与讨论,主动分享自己的观点和经验。

5. 学生能够关注电梯安全,提高安全意识,自觉遵守相关规范和标准。

二、教学内容本章节教学内容依据课程目标,紧密结合课本,确保科学性和系统性。

具体安排如下:1. 电梯基本结构与原理- 介绍电梯的组成部分,如曳引机、导轨、轿厢、对重等。

- 阐述电梯的工作原理,包括曳引、导向、轿厢和对重平衡等。

2. 电梯控制系统设计流程- 分析电梯控制系统的设计步骤,如需求分析、方案设计、硬件选型、软件编程等。

- 结合教材章节,讲解不同类型电梯的控制策略。

3. 电梯控制系统的安全规范与标准- 引导学生了解我国电梯安全规范和相关标准。

- 分析电梯控制系统在设计过程中应考虑的安全因素。

4. 电梯控制系统设计与模拟调试- 指导学生运用所学知识,设计简单的电梯控制方案。

- 组织学生进行模拟调试,验证方案的可行性。

电梯控制数电课程设计

电梯控制数电课程设计

电梯控制数电课程设计一、课程目标知识目标:1. 让学生理解数字电路的基本原理,掌握电梯控制系统中数字电路的应用。

2. 使学生掌握电梯控制系统的基本组成,了解各部分功能及其相互关系。

3. 帮助学生掌握电梯运行过程中数字电路的控制逻辑。

技能目标:1. 培养学生运用所学知识分析和解决实际问题的能力,能设计简单的电梯控制数字电路。

2. 提高学生的动手操作能力,能正确搭建和调试电梯控制数字电路。

3. 培养学生团队协作和沟通能力,能在小组合作中发挥各自优势,共同完成项目任务。

情感态度价值观目标:1. 培养学生对数字电路和电梯控制技术的兴趣,激发他们探索未知、勇于创新的科学精神。

2. 增强学生的安全意识,使他们认识到电梯控制系统在实际应用中的重要性。

3. 培养学生具备良好的职业道德,关注社会发展,为我国电梯行业的发展贡献自己的力量。

课程性质:本课程为选修课程,结合理论知识与实践操作,培养学生的实际应用能力。

学生特点:学生具备一定的数字电路基础知识,对电梯控制技术有一定了解,喜欢动手实践,善于团队合作。

教学要求:注重理论与实践相结合,提高学生的实际操作能力,鼓励学生主动探索,培养创新意识。

在教学过程中,关注学生的个体差异,因材施教,使每位学生都能在原有基础上得到提高。

通过课程目标的实现,为学生后续学习电梯控制技术及相关领域知识打下坚实基础。

二、教学内容1. 数字电路基础:复习数字电路的基本概念、逻辑门电路、触发器等基础知识,为电梯控制系统数字电路的分析和设计打下基础。

教材章节:第1章 数字电路基础2. 电梯控制系统概述:介绍电梯控制系统的基本组成、工作原理和性能指标,使学生了解电梯控制系统的整体框架。

教材章节:第2章 电梯控制系统概述3. 电梯控制数字电路设计:讲解电梯控制中常用的数字电路,如定时器、计数器、译码器等,分析它们在电梯控制系统中的应用。

教材章节:第3章 电梯控制数字电路设计4. 电梯控制逻辑分析:通过对电梯运行过程中各种控制逻辑的分析,使学生掌握电梯控制系统的逻辑设计方法。

电梯PLC控制系统课程设计

电梯PLC控制系统课程设计

电梯PLC控制系统课程设计一、课程目标知识目标:1. 让学生掌握电梯PLC控制系统的基本原理和组成结构,了解其工作流程和功能。

2. 使学生了解电梯控制系统中的传感器、执行器等相关元件的作用和原理。

3. 帮助学生理解电梯运行过程中PLC程序的设计与实现,掌握基本编程方法。

技能目标:1. 培养学生运用所学知识分析和解决实际电梯控制问题的能力。

2. 提高学生动手实践能力,能够独立完成电梯PLC控制系统的调试与运行。

3. 培养学生团队协作和沟通能力,能够就电梯控制系统的设计与实施进行有效讨论。

情感态度价值观目标:1. 培养学生对电梯控制技术及其在工程领域的应用的兴趣,激发学习热情。

2. 培养学生严谨的科学态度,注重实践与创新,提高分析问题和解决问题的能力。

3. 引导学生关注电梯安全问题,树立安全意识,增强社会责任感。

课程性质:本课程为实践性较强的专业课,结合理论教学和实际操作,培养学生对电梯PLC控制系统的综合运用能力。

学生特点:学生已具备一定的电气基础知识和编程能力,具有较强的学习兴趣和动手欲望。

教学要求:注重理论与实践相结合,充分调动学生的主观能动性,培养学生的动手操作能力和团队协作精神。

通过本课程的学习,使学生能够达到预定的知识、技能和情感态度价值观目标。

二、教学内容1. 电梯PLC控制系统的基本原理与组成- PLC的原理、结构及其在电梯控制系统中的应用- 电梯控制系统的主要组成部分及其功能2. 电梯控制系统中的传感器、执行器等相关元件- 传感器的工作原理、种类及其在电梯控制系统中的作用- 执行器的原理、类型及其在电梯控制系统中的应用3. PLC编程与电梯控制程序设计- PLC编程语言、编程方法及其在电梯控制中的应用- 电梯控制程序设计流程、技巧与实践4. 电梯PLC控制系统的调试与运行- 电梯PLC控制系统的调试方法与步骤- 电梯控制系统的运行维护及故障排除5. 电梯控制系统案例分析与综合实践- 分析典型电梯控制系统的案例,总结设计经验- 安排综合实践活动,培养学生动手操作和团队协作能力教学内容安排与进度:第一周:电梯PLC控制系统的基本原理与组成第二周:电梯控制系统中的传感器、执行器等相关元件第三周:PLC编程与电梯控制程序设计第四周:电梯PLC控制系统的调试与运行第五周:电梯控制系统案例分析与综合实践本教学内容与课本紧密关联,按照教学大纲逐步展开,确保学生能够系统地掌握电梯PLC控制系统的相关知识和技能。

八层货梯的数电课程设计

八层货梯的数电课程设计

八层货梯的数电课程设计一、课程目标知识目标:1. 让学生掌握数字电路基础知识,理解八层货梯中涉及的逻辑门、触发器、计数器等组件的工作原理。

2. 使学生能够运用数字电路知识,分析并设计简单的货梯控制系统。

3. 帮助学生了解数字电路在实际工程中的应用,如货梯控制系统的设计。

技能目标:1. 培养学生运用所学数字电路知识,解决实际问题的能力。

2. 提高学生动手实践能力,能够搭建简单的货梯控制系统模型。

3. 培养学生团队协作能力,通过小组合作完成课程项目。

情感态度价值观目标:1. 培养学生对数字电路的兴趣,激发他们学习相关学科的热情。

2. 培养学生严谨的科学态度,注重实际问题的解决。

3. 增强学生的社会责任感,让他们认识到科技在生活中的重要作用。

课程性质:本课程为电子技术专业课程,以理论教学和实践操作相结合的方式进行。

学生特点:八年级学生具有一定的电子技术基础,对新鲜事物充满好奇,喜欢动手实践。

教学要求:注重理论与实践相结合,充分调动学生的主观能动性,提高他们的实际操作能力。

通过课程学习,使学生在掌握知识的同时,提高技能和情感态度价值观。

将课程目标分解为具体的学习成果,以便于教学设计和评估的实施。

二、教学内容1. 数字电路基础知识回顾:逻辑门、逻辑函数、触发器、计数器等基本概念和工作原理。

2. 货梯控制系统分析:介绍货梯的基本工作原理,分析数字电路在货梯控制系统中的应用。

3. 教学大纲:a. 第一周:数字电路基础知识复习,以教材第1章为基础,侧重于逻辑门和触发器的原理及应用。

b. 第二周:学习计数器原理,以教材第2章为基础,结合货梯层数控制需求,探讨计数器的应用。

c. 第三周:分析货梯控制系统实例,以教材第3章为基础,学习系统设计方法和步骤。

d. 第四周:分组进行课程项目设计,每组设计一个简单的货梯控制系统,包括电路图绘制和模型搭建。

4. 实践教学内容:a. 动手搭建逻辑门、触发器等基本数字电路。

b. 设计并搭建一个具有简单层数控制功能的货梯模型。

plc电梯控制系统课程设计

plc电梯控制系统课程设计

plc电梯控制系统课程设计一、课程目标知识目标:1. 让学生掌握PLC电梯控制系统的基本原理,理解其工作流程及各部分功能。

2. 使学生了解电梯控制系统中常用的传感器、执行器及其在系统中的作用。

3. 帮助学生掌握PLC编程的基本方法,能运用相关指令编写简单的电梯控制程序。

技能目标:1. 培养学生运用所学知识分析电梯控制系统中问题的能力,能对简单故障进行诊断与修复。

2. 提高学生动手实践能力,能独立完成PLC电梯控制系统的接线、编程与调试。

3. 培养学生团队协作和沟通能力,能在小组项目中发挥个人优势,共同完成项目任务。

情感态度价值观目标:1. 激发学生对电气工程及自动化领域的兴趣,培养其探索精神。

2. 培养学生严谨、细致的学习态度,提高其工程素养。

3. 引导学生关注电梯安全问题,培养其社会责任感和职业道德。

本课程针对高年级学生,结合课程性质、学生特点和教学要求,将目标分解为具体的学习成果。

通过本课程的学习,学生能够掌握PLC电梯控制系统的基本知识和技能,培养解决实际问题的能力,同时提升团队协作和沟通能力,形成正确的价值观。

为实现这一目标,课程将采用理论教学与实践操作相结合的教学方法,确保学生学以致用,为今后的学习和工作打下坚实基础。

二、教学内容1. PLC电梯控制系统概述:介绍PLC的基本概念、发展历程、应用领域,以及电梯控制系统的基本原理和结构组成。

教材章节:第一章 绪论2. 电梯控制系统硬件:讲解电梯控制系统中常用的传感器、执行器、控制器等硬件设备,以及其选型与接线方法。

教材章节:第二章 硬件系统3. PLC编程技术:介绍PLC编程的基本指令、编程软件的使用方法,以及电梯控制程序的设计与编写。

教材章节:第三章 PLC编程技术4. 电梯控制程序设计:分析电梯控制系统的功能需求,设计电梯控制程序,包括召唤、选层、运行、开门、关门等环节。

教材章节:第四章 电梯控制程序设计5. PLC电梯控制系统调试与故障诊断:讲解系统调试的方法和步骤,分析常见故障现象及其原因,掌握故障诊断与修复技巧。

PLC课程设计三层电梯控制系统

PLC课程设计三层电梯控制系统

添加标题
添加标题
添加标题
添加标题
PLC的特点包括:可靠性高、编程 简单、易于维护、扩展性强、抗干 扰能力强等。
PLC在电梯控制系统中的应用可以 提高电梯运行的安全性、可靠性和 舒适性。
PLC在电梯控制系统中的主要作用
控制电梯的运行状态,包括启动、停止、加速、减速等 监控电梯的运行参数,如速度、位置、加速度等 处理电梯的故障报警,如门故障、超速、过载等 实现电梯的调度功能,如楼层选择、优先权等 提供人机界面,如显示楼层、运行状态等 记录电梯的运行数据,如运行时间、故障次数等
楼层等信息
驱动系统:包 括电机、减速 器、制动器等
安全装置:包 括安全钳、限 速器、缓冲器

通讯系统:包 括网络接口、
无线通讯等
电梯控制系统的基本功能
楼层选择: 乘客可以 通过按钮 选择目标 楼层
自动关门: 电梯门在 无人操作 时自动关 闭
运行控制: 电梯根据 乘客选择 楼层自动 运行
安全保护: 电梯在运 行过程中 遇到故障 时自动停 止运行, 并报警
软件架构:分层设计,包 括控制层、逻辑层和接口 层
控制层:负责接收用户指 令,控制电梯运行
逻辑层:负责处理电梯运 行逻辑,包括楼层选择、 速度控制等
接口层:负责与硬件设备 通信,如传感器、电机等
软件功能:包括楼层显示、 运行状态显示、故障报警 等
软件测试:包括单元测试、 集成测试和系统测试,确 保软件稳定性和可靠性
运行中监控:实时 监控电梯运行状态, 及时发现并处理异 常情况
定期维护:定期对 电梯控制系统进行 维护和保养,确保 其正常运行
故障处理:遇到故 障时,及时进行故 障排查和处理,确 保电梯安全运行
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

湖南工程学院课程设计课程名称数字电子技术课题名称简易电梯控制系统专业自动化班级1081学号 1姓名罗超超指导教师龚志鹏2012年11月23日湖南工程学院课程设计任务书课程名称:数字电子技术题目:简易电梯控制系统专业班级:自动化1081学生姓名:罗超超学号:11指导老师:龚志鹏审批:任务书下达日期2012年11月19日星期一设计完成日期2012年11月23日星期五目录一、系统总体设计方案及系统框图 ................................... - 2 -1.1设计方案:............................................................ - 2 -1.2设计原理:............................................................ - 2 -1.3系统总电路图:..................................................... - 3 -二、单元电路的设计......................................................... - 3 -2.1信号的输入,锁存及显示:................................... - 3 -2.2控制编码发生器: .................................................... - 4 -2.3系统电路的编码,数据比较及加减计数:.............. - 5 -三、安装与调试步骤......................................................... - 6 -3.1安装步骤:............................................................ - 6 -3.2调试步骤: .............................................................. - 6 -四、故障分析与电路改进.................................................. - 7 -4.1故障分析:............................................................ - 7 -⑴秒脉冲信号发生电路是否产生脉冲:.................. - 7 -⑵数码显示管不能正常显示:................................ - 7 -⑶信号灯不显示或不按仿真的状态显示: .............. - 7 -4.2电路改进:............................................................ - 8 -五、总结与体会................................................................ - 8 -六、附录(元器件清单).................................................. - 9 -一、系统总体设计方案及系统框图1.1设计方案:⑴系统的时钟脉冲信号是由函数发生器发生。

时钟信号通过一定与门和与非门输入同步加减计数器74LS192N,控制其加减计数。

⑵系统的开关控制电路是由D触发器和与非门构成,控制信号灯的工作状态。

⑶系统的核心电路是由编码器74LS148N,数据比较器74LS85,同步可加减计数器74LS192N,与一定的门电路组成,实现对电梯的上升和下降的控制。

1.2设计原理:⑴系统的信号灯控制部分是由开关和D触发器构成,开关先接高电平,按下开关时,给了一个高电平触发信号输入给D 触发器使其锁存高电平信号,从而使信号灯一直亮直到信号结束。

⑵系统的核心部分是由编码器74LS148N,数据比较器74LS85,同步十进制可逆计数器74LS192N,译码器CD4511和一定的门电路组成。

按下开关时,产生的高电平信号由D触发器传给74LS148N编码器,产生后的编码输入74LS85数据比较器,通过与74LS192N输出编码比较,产生的信号再连接时钟信号通过一定的门电路输入74LS192N计数器,控制计数器的加减,从而实现电梯的上升与下降。

1.3系统总电路图:二、单元电路的设计2.1信号的输入,锁存及显示:该单元电路如下图所示:将D触发器的Q非端与D端相连组成T触发器,当时钟输入端CLK输入高点平,Q端的状态翻转。

D触发器的复位端输入复位信号,Q端输出低电平,LED灯熄灭。

2.2控制编码发生器:C R V V C R t CC CC W 2227.0310320ln =--=2.3系统电路的编码,数据比较及加减计数:D触发器锁存高电平信号时,把高电平通过Q端输入编码器74LS148N,从而编码器开始编码,编码后的数据输入数据比较器74LS85,同步加减计数器74LS192N的输出端的数据同时也输入数据比较器,通过比较后,数据比较器的输出端,连接时钟信号通过与非门电路,从而控制了计数器的加减,实现了电梯的上升与下降。

复位开关设置与74LS192的14号"CLR"管脚,另一端用一高低电平控制。

三、安装与调试步骤3.1安装步骤:⑴按照系统总的电路图,合理规划电路总布局,尽量使电路连接简单、明了。

⑵首先一个模块一个模块连接,连接好一个模块,就检测一个,包括芯片,电路板,及导线是否接正确且接稳等,以防整体检测时不必要的麻烦。

⑶整体连接完毕,进行调试,看是否和仿真结果相同。

如有出入,仔细检测电路,查出问题所在。

这样不断调试,直到达到预期结果。

⑷改进布线,力求精简。

3.2调试步骤:⑴打开电源开关,接通电源,观察信号灯和数码显示管的变化。

⑵如有问题,首先检查是否有电线松动,然后再调试其它部分。

⑶检查信号灯和数码显示管是否有损坏。

⑷如果以上都们问题的话,此时应该分成一个一个单元电路来调试,包括芯片,电路板,及导线是否接正确且接稳等。

四、故障分析与电路改进4.1故障分析:⑴秒脉冲信号发生电路是否产生脉冲:把万用表调到5V电压档,万用表负极接地,正极接74LS00管脚“1”针脚,芯片通电后,看电压变化是否变化明显,高电平大于3V,低电平小于0.4V。

如果不能产生脉冲,检测74LS00管脚是否接对,电阻和电容是否接正确,如果不是这些问题,就通过换芯片,看是否是芯片的问题,如果芯片也没问题,就检测是不是电路板有问题。

⑵数码显示管不能正常显示:看数码管是怎样显示的,如果显示乱码,检查译码芯片CD4511是否接线正常,然后检查各针脚对应输出是否正确,最后检查数码管接线是否正确。

如果数码管中某些段不亮,检查接线是否正确,对应针脚电压是否变化。

如果是某些段不灭也不完全亮,换一个数码管试试。

⑶信号灯不显示或不按仿真的状态显示:检查信号灯是否能发光,然后检查信号灯接线处是否良好,最后检查接线是否按照状态方程连接正确。

⑷数码显示管与信号灯配合有问题:按下某楼层的开关时,信号灯亮,当数码显示管显示到相应的楼层时,信号灯熄灭。

如果不是以上的结果,首先检查JK 触发器的管脚是否连接好以及是否正确。

然后再检查同步可加减计数器74LS192N的管脚是否连接好以及是否正确。

接着就是数据比较器74LS85以及编码器74LS148N的管脚连接正确与否。

一步步检查后,此问题便可解决。

4.2电路改进:总体来说,我觉得我设计的这个简易电梯控制系统电路已经达到了最初的要求。

简洁明了,功能模块清楚。

唯一不妥的是只具备单请求功能,多个楼层的请求则不再该设计的范围,简易电梯的电路设计还有待进一步的完善五、总结与体会数电课程设计是培养学生综合运用所学知识,发现,提出,分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程.回顾起此次课程设计,至今我仍感慨颇多,的确,从选题到定稿,从理论到实践,在短短的几个星期内,可以说得是苦多于甜,但是可以学到很多很多的的东西,同时不仅可以巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。

通过这次数电课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,从而提高自己的实际动手能力和独立思考的能力。

在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做数电课程设计,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。

这次数电课程设计终于顺利完成了,在设计中遇到了很多问题,最后在龚老师的辛勤指导下,终于达到了预期的效果。

同时,在龚老师的身上我学得到很多实用的知识。

总体来说,这次实习我受益匪浅.在摸索该如何设计程序使之实现所需功能的过程中,特别有趣,培养了我的设计思维,增加了实际操作能力.在让我体会到了设计的艰辛的同时,更让我体会到成功的喜悦和快乐. 这次数电课程设计,虽然短暂但是让我得到多方面的提高:1、提高了我们的逻辑思维能力,使我们在逻辑电路的分析与设计上有了很大的进步。

加深了我们对组合逻辑电路与时序逻辑电路的认识,进一步增进了对一些常见逻辑器件的了解。

另外,我们还更加充分的认识到,数字电路这门课程在科学发展中的至关重要性2,查阅参考书的独立思考的能力以及培养非常重要,我们在设计电路时,遇到很多不理解的东西,有的我们通过查阅参考书弄明白,有的通过网络查到,但由于时间和资料有限我们更多的还是独立思考。

六、附录(元器件清单)同步十进制可加减计数器(74LS192N)1个优先编码器(74LS148N)2个数据比较器(74LS85)1个与非门(74LS00N) 1个数码显示管1个电气与信息工程系课程设计评分表指导教师签名:________________ 日期:________________。

相关文档
最新文档