用74LS162计数器设计24进制计数器

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

姓名: 桑贤超班级: 文自112-2班学号:201190519234 试验:24进制计数器的设计日期:2012.11.17 指导老师: 徐洪霞

一、实验报告的名称: 24进制计数器的设计

二、本次实验的目的:

1.掌握74LS162 计数器的用法

2. 利用74LS162计数器设计一个24进制计数器

三、实验设备:

Maplus2x软件、试验箱

四、画出实验原理图,标明引脚连线,画出防真波形图,注明引脚.

74LS162 计数器是十进制计数方式的计数器,且其实同

步清零方式。所以设计24进制计数器,则S n-1=100011的非。

五、实验总结,主要包括实验中所犯错误,怎样改正等

1.在文件名必须与VHDL文件中的设计实体名保持一致。

2.低位的清零输出端(CO端)要连接高位的使能端。

3.低位端和高位端的输出端统一接地或输入置零。

4.. 低位端和高位端的的置数端要统一。

相关文档
最新文档