EDA实训指导书

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

EDA实训指导书

电子设计自动化技术实训 CSH 1

CSH

电子设计自动化技术实训 CSH 2

前言

电子设计自动化技术实训 CSH 3

EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可靠性,减轻了设计者的劳动强度。VHDL是一门非常重要的、是目前标准化程度最高的硬件描述语言。它在1987年就被IEEE采纳为IEEE#1076标准。用其书写的源文件既是程序又是文档,既是工程技术员之间交换信息的文件,以可作为合同签约者之间的文件。

在《电子设计自动化技术》课程的理论学习中,我们已仔细地介绍了基本数字电路的VHDL描述,为进一步掌握VHDL

的综合应用,通过专门实训周以加强实践操作能力。本次实训现提供以上几个数字系统综合课题供大家进行分析练习修改与矿。为了提高分析实践效果。

在此只提供简单的课题框图与注释作为提示。同时将VHDL源代码给出以便对照调试之用。

电子设计自动化技术实训 CSH 4

课题1 带数字显示的秒表

一、设计任务及要求

1、设计一块用数码管显示的秒表。

2、能够准确的计时并显示。

3、开机显示。

4、用户可随时清零、暂停、计时。

5、最大计时59分钟,最小精确到秒。

二、可选器件

EPM130208-2、共阴极七段数码管、发光二极管、按键开关、电阻、电容。

三、设计总体框图

数字显示器的秒表总体框图如图1所示。时钟输入分频电路

时分复用译码显示计时模块图1带数字显示的秒表总体框图

四、源程序

library ieee;

use _logic_; use _logic_; use _logic_; entity watch

is

port (sel:out std_logic_vector(6downto1); ——

信号。 seg:out std_logic_vector(7downto 0); ——管

的输出信号。 beginstop:in std_logic;——、停止计数。reset:in std_logic; ——复位cp2:in std_logic);

end watch;

architecture behave of watch is

signal numl:std_logic_vector(3downto0); signal num2:std_logic_vector(3downto 0); signal num3:std_logic_vector (3 downto 0); signal num4:std_logic_vector (3 downto 0);

电子设计自动化技术实训 CSH

signal num5:std_logic_vector(3 downto 0); signal num6:std_logic_vector(3 downto 0); signal num: std_logic_vector(3 downto 0); signal numlet:std_logic_vector(2 downto 0); signal count:std_logic_vector( 17 downto 1); signal selsig:std__logic_vector(6 downto 1); signal segsig:std_logic_vector(7 downto 0); signal cp1:std_logic; signal cp3:std_logic; begin

process(cp2)——分频。 Begin

If(cp2’event and cp2=’1’)then If(count=”11000011010011111”)then

counthhhhhhhhhvvvvvvvvvvvvvvsel_1sel_1sel_1sel_1sho wshowshowshowshowshowshowshowshowshowshowshowshowsh owshowshowshowshowshowshowshowshowshowshowshowshows howshowshowshow0 then

play0<=r1_1;play1<=r2_1;play2<=r3_1;play3<=r4_1; decimal<=\else

paly0<=r2_1;play1<=r3_1;play3<=r4_1;play3<=r5_1; decimal<=\ end if; end process; end behavior;

28

五、程序说明

1. 此程序1个上层模块将5个下层模块连接在一起而组成,5个下层模块分别是分

频模块、防抖模块、计数模块、锁存器模块和显示模块。

2. 此程序将时钟分到5HZ ,形成一个固定的的闸门时间,被测信号通过的

电子设计自动化技术实训 CSH 29

闸门进入计数器进行计数,而在的低电平内不计数,将计数器清零,以便下一次计数。

3. 为满足题意,被测信号为几十千赫兹时,显示

##.##KHZ;

被测信号为几百千赫兹时,显示###.##KHZ;被测信号为几千千赫兹时,显示####.##KHZ。

4.采用时分复用的方法控制4个数码管的显示。

电子设计自动化技术实训 CSH 1

CSH

电子设计自动化技术实训 CSH 2

前言

电子设计自动化技术实训 CSH 3

EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可靠性,减轻了设计者的劳动强度。VHDL是一门非常重要的、是目前标准化程度最高的硬件描述语言。它在1987年就被IEEE采纳为IEEE#1076标准。用其书写的源文件既是程序又是文档,既是工程技术员之间交换信息的文件,以可作为合同签约者之间的文件。

相关文档
最新文档