EDA实验指导书
EDA实验指导书_2
EDA 技术与VHDL实验指导书通信实验室编制2012年9月实验一组合电路的设计 (3)实验二时序电路的设计.................................错误!未定义书签。
实验三8位全加器的设计................................错误!未定义书签。
实验四含异步清零和同步时钟使能的加法计数器的设计错误!未定义书签。
实验五十六进制七段数码显示译码器设计.错误!未定义书签。
实验六数控分频器的设计.............................错误!未定义书签。
实验七序列检测器的设计.............................错误!未定义书签。
实训一组合电路的设计一、实验目的熟悉QuartusⅡ的VHDL文本设计流程全过程, 学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。
二、实验内容1: 首先利用QuartusⅡ完成2选1多路选择器的文本编辑输入(mux21a.vhd)和仿真测试等步骤, 给出仿真波形。
最后在实验系统上进行硬件测试, 验证本项设计的功能。
2:将此多路选择器看成是一个元件mux21a, 利用元件例化语句描述一个双2选1多路选择器, 并将此文件放在同一目录中。
三、实验仪器ZY11EDA13BE型实验箱通用编程模块, 配置模块, 开关按键模块, LED显示模块。
四、实验原理1.2选1多路选择器的VHDL源代码ENTITY mux21a ISPORT ( a, b, s: IN BIT;y : OUT BIT );END ENTITY mux21a;ARCHITECTURE one OF mux21a ISBEGINPROCESS (a,b,s)BEGINIF s = '0' THEN y <= a ; ELSE y <= b ;END IF;END PROCESS;END ARCHITECTURE one ;下图为本例2选1多路选择器的仿真图形2.双2选1多路选择器以下是部分参考程序:...COMPONENT MUX21APORT ( a, b, s : IN STD_LOGIC;y : OUT STD_LOGIC);END COMPONENT ;...u1 : MUX21A PORT MAP(a=>a2, b=>a3, s=>s0, y=>tmp);u2 : MUX21A PORT MAP(a=>a1, b=>tmp, s=>s1, y=>outy);END ARCHITECTURE BHV ;五、实验报告:根据以上的实验内容写出实验报告, 包括程序设计、软件编译、仿真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。
EDA实验指导书
实验一 MAX+PLUSII软件的使用[实验目的] 掌握MAX+PLUSII软件的使用。
[实验内容] 学习MAX+PLUSII软件的设计操作步骤。
[实验原理] MAX+PLUSII软件介绍。
MAX+PLUSII软件功能简介:1 原理图输入(Graphic Editor)MAX+PLUSII软件具有图形输入能力,用户可以方便的使用图形编辑器输入电路图,图中的元器件可以调用元件库中元器件,除调用库中的元件以外,还可以调用该软件中的符号功能形成的功能块。
2 硬件描述语言输入(Text Editor)MAX+PLUSII软件中有一个集成的文本编辑器,该编辑器支持VHDL,AHDL和Verilog硬件描述语言的输入,同时还有一个语言模板使输入程序语言更加方便,该软件可以对这些程序语言进行编译并形成可以下载配置数据。
3 波形编辑器(waveform Editor)在进行逻辑电路的行为仿真时,需要在所设计电路的输入端加入一定的波形,波形编辑器可以生成和编辑仿真用的波形(*.SCF文件),使用该编辑器的工具条可以容易方便的生成波形和编辑波形。
4 编译与仿真当设计文件被编译好,并在波形编辑器中将输入波形编辑完毕后,就可以进行行为仿真了,通过仿真可以检验设计的逻辑关系是否准确。
5 器件编程当设计全部完成后,就可以将形成的目标文件下载到芯片中,实际验证设计的准确性。
[实验步骤]设计过程如下:1)输入项目文件名(File/Project/Name)2)输入源文件(图形、VHDL、AHDL、Verlog和波形输入方式)(Max+plusⅡ/graphic Editor, Max+plusⅡ/Text Editor, Max+plusⅡ/Waveform Editor)3)指定CPLD型号(Assign/Device)4)设置管脚、下载方式和逻辑综合的方式(Assign/Global Project Device Option,Assign/Global Logic Synthesis)5)保存并检查源文件(File/project/Save & Check)6)指定管脚(Max+plusⅡ/Floorplan Editor)7)保存和编译源文件(File/project/Save & Compile)8)生成波形文件(Max+plusⅡ/Waveform Editor)9)仿真(Max+plusⅡ/Simulator)10)下载配置(Max+plusⅡ/Programmer)[实验报告要求]不做要求。
电子设计自动化(eda)实验指导书
电子设计自动化(EDA)实验指导书前言近些年来,电子设计自动化(EDA)技术发展迅速。
一方面,各种大容量、高性能、低功耗的可编程逻辑器件不断推出,使得专用集成电路(ASIC)的生产商感受到空前的竞争压力。
另一方面,出现了许多EDA设计辅助工具,这些工具大大提高了新型集成电路的设计效率,使更低成本、更短周期的复杂数字系统开发成为可能。
于是一场ASIC与FPGA/CPLD之争在所难免。
然而PLD器件具有先天的竞争优势,那就是可以反复编程,在线调试。
EDA技术正是这场较量的推动引擎之一。
一般来说,EDA技术就是以计算机为平台,以EDA软件工具为开发环境,以HDL为设计语言,以可编程器件为载体,以ASIC、SOC芯片为目标器件,以电子系统设计为应用方向的电子产品自动化设计过程。
设计者只需编写硬件描述语言代码,然后选择目标器件,在集成开发环境里进行编译,仿真,综合,最后在线下载调试。
整个过程,大部分工作由EDA软件完成。
全球许多著名的可编程器件提供商都推出了自己的集成开发工具软件,如Altera 公司的MAX+PLUSⅡ、Quartus Ⅱ软件;Xilinx公司的Foundation 、ISE软件,Lattice公司的ispExpert软件,Actel公司的Libero 软件等。
这些软件的推出,极大地促进了集算法设计、芯片编程、电路板设计于一体的EDA技术的发展。
另外,在以SOC芯片为目标器件的电子系统设计要求下,可编程器件的内部开始集成高速的处理器硬核、处理器软核、DSP模块、大量的存储资源、高速的串行收发模块、系统时钟管理器、多标准的I/O接口模块,亦使得设计者更加得心应手,新一轮的数字革命由此引发。
EDA技术是一门实践性很强的学科,要培养出具有竞争力的一流IC设计人才,动手能力是关键。
只有通过理论学习,加上现场实验,在使用软件编程加硬件调试的过程中真正获得锻炼,增长技能。
ZY11EDA13BE型实验系统采用主板加适配板加扩展板的灵活结构,可方便进行基于不同PLD芯片的实验开发,并易于升级,符合当前高校在此方面对人才培养的要求。
EDA实验指导书
EDA技术实验指导书2012.09第一章针对HDL设计的EDA基本实验与设计实验1.计数器设计(1) 实验目的:熟悉Quartus II的Verilog/VHDL文本设计流程全过程,学习计数器的设计、仿真和硬件测试。
掌握原理图与文本混合设计方法。
(2) 实验原理:参考教材[1]3.3节。
实验程序为例(3) 实验内容1:根据教材[1]的4.1节在Quartus II上对例3-22进行编辑、编译、综合、适配、仿真。
说明例中各语句的作用。
给出其所有信号的时序仿真波形,根据波形详细描述此设计的功能特点,包括RST、EN、LOAD、DA TA,CLK等信号等异步和同步特性。
查阅编译后的计数器的时序特点,从时序仿真图和编1 原理图示意图译报告中了解计数时钟输入至计数数据输出的延时情况,包括设定不同优化约束后的改善情况以及当选择不同FPGA后的延时情况,给出分析报告。
(4) 实验内容2:用教材[1]第4章介绍的不同方式锁定引脚并硬件下载测试。
引脚锁定后进行编译、下载和硬件测试实验。
将实验过程和实验结果写进实验报告。
硬件实验中,注意测试所有控制信号和显示信号,包括RST、EN、LOAD、DA TA等的同步、异步特性,进位信号等。
时钟CLK换不同输入:手动有抖动或无抖动键输入,1Hz或4Hz时钟脉冲输入,这需要附录1.3的模块B4板才能获得,或直接使用KX_DN5/7系列EDA/SOPC实验系统。
(5) 实验内容3:使用SignalTap II对此计数器进行实时测试,流程与要求参考教材[1]第4章,给出报告。
(6) 实验内容4:从设计中去除SignalTap II,要求全程编译后,将生成的SOF文件转变成用于配置器件EPCS4的压缩的间接配置文件*. jic,并使用USB-Blaster对实验板上的EPCS4进行编程,最后进行验证。
编程和全程编译前,按教材[1]图4-6所示,设定所有控制和参数。
(7) 实验内容5:为此项设计加入一个可用于SignalTap II采样的独立的时钟输入端CLK0。
EDA实验指导书(已改)
10-11学年第二学期《EDA实验指导书》(试用)学时:12班级:机电811、812指导教师:孙立香盐城纺织职业技术学院机电系电子教研室目录实验一 Protel 99 SE 启动、建库、文件环境参数设置 (3)实验二熟悉元器件库,编辑简单原理图 (5)实验三电路原理图设计 (6)实验四原理图元件制作 (9)实验五 PCB 设计基础 (11)实验六 PCB 图元件制作 (12)附加印制电路板设计 (14)实验一 Protel 99 SE 启动、建库、文件环境参数设置一、实验目的1 .熟悉 scH 99 的基本界面。
2 .学会文件环境参数设置。
二、实验内容1. 启动 Protel99SE ,建立名为 MYPRO 的文件夹,并在文件夹中建立名为MYFIRST 的设计数据库文件。
提示:单击桌面上的 Protel99SE 快捷图标,进入 Protel99SE 设计环境。
若环境中已经有设计数据库文件,就执行菜单 File/Close Design 将其关闭。
若环境中没有设计数据库文件,就执行菜单 File/New ,在弹出的窗口中,单击Browse 按钮,在弹出的文件名输入窗口中建立 MYPRO 的文件夹,并在文件夹中建立 MYFIRST 的设计文件。
2. 在练习 1 的基础上,建立名为 FIRSCH 的原理图文件,并进入原理图设计窗口。
提示:执行 File/New 菜单,在弹出的窗口中选择 Schematic Document 图标。
3. 设置原理图的图纸尺寸为 A0 ,去掉可视栅格,去掉标题栏。
提示:在原理图设计环境中,执行菜单 Design/Options ,在弹出的窗口中选择 Sheet Options 页面,在页面右上角的 Standard Styles 下拉框中选择A0 。
4. 把光标设置成大十字,并把光标移动到图纸边沿时的移动速度设置为 Auto Pan Recenter 。
提示:设置光标:在原理图设计环境中,执行菜单 Tools/Preferences ,在弹出的窗口中选择 Graphical Editing 页面,然后在该页面 Cursor/Grid Options 区域的 Cursor Type 下拉列表框中选择 Large Cursor 90 。
EDA实验指导书(最新)
EDA技术实验指导书吕常智尹唱唱卫阿盈山东科技大学信息与电气工程学院EDA实验室使用说明本实验指导书适用于EDA技术、VHDL语言及电子设计自动化等相关课程使用。
它们都属于实践性很强的软件应用类课程,主要包含电子线路设计与仿真(EWB,MuiltSim等)、电子线路原理图与印刷电路板的设计与绘制(Protel99SE、PowerPCB等)、可编程逻辑器件CPLD/FPGA、VHDL语言及其应用设计(MAX+PlusII/QuartusII)等相关内容,涉及到电子信息、通信工程、电气技术、自动化、生物医学等所有电气信息类专业。
基于以上原因,在设计本实验指导书时考虑到各专业和课程的需要,安排了有关EWB的实验一个,Protel99SE的实验两个,基于CPLD/FPGA的VHDL语言的实验十七个。
二十个实验中包含验证性实验9个、设计性实验2个、综合性实验9个(具体请查看试验大纲)。
实验的设计强调了提高学生的实践动手能力和创新设计能力,实验时,课程教师可以根据专业和课程的需要进行选择。
由于所有试验都是基于软件开发平台的上机操作完成,实现设计和运行的方法较多,因此本指导书没有固定实验步骤和规定试验结果记录方式,这些在书写报告时由实验者自己拟定。
另外,本实验指导书基于EDA 实验系统GW48-PK3编排,有关试验系统的默认设置及相关资料请参考附件1,并要求学生实验时一定注意预览。
当然,由于涉及的知识面比较广,时间紧迫,水平也比较有限,实验的编排不一定非常合理,书中也难免有误,不当之处敬请课程教师、实验指导教师及实验指导书使用者批评指正。
目录试验一EWB设计应用 (1)试验二Protel99SE 原理图设计 (4)试验三Protel99SE 印刷板设计 (5)试验四Max+plusII/QuartusII软件应用 (7)试验五VHDL软件设计 (8)试验六3-8译码器 (9)试验七组合逻辑电路的VHDL描述 (10)试验八触发器的VHDL描述 (11)试验九扫描显示驱动电路 (13)试验十VHDL硬件设计 (15)试验十一数字钟 (18)试验十二字符发生器 (19)试验十三模拟信号检测 (20)试验十四函数信号发生器 (22)试验十五VHDL硬件设计 (23)试验十六序列检测器设计 (27)试验十七嵌入式锁相环PLL的应用实验 (28)试验十八信号采集与频谱分析 (30)试验十九循环冗余校验CRC模块设计 (32)试验二十USB与FPGA通信实验 (33)附录1 GW48 EDA/SOPC主系统使用说明 (35)实验一EWB设计应用一、实验目的1、熟悉EWB的使用环境和EWB使用一般步骤。
EDA实验指导书全
实验一Quartus II开发环境入门一、实验目的1、了解QuartusII软件及基本操作2、熟悉图形编辑器Block Builder/Schematic File的设计输入3、掌握电路的编译和适配4、掌握电路仿真与时序分析5、熟悉3/8线译码器工作原理和五人表决器设计二、实验原理1、以3/8线译码器为例,总体思路以EP1C3中的三个拨位开关,SW3,SW2,SW1为三个输入信号,可以代表8种不同的状态,该译码器对这8种状态译码,并把所译码的结果在七个彩灯上显示。
2、三、实验步骤主要仪器和设备:计算机,EDA实验箱。
步骤一:1、建立工程,设计输入。
选择菜单“File”→“New Preject Wizard”将设计文件加入工程中:点击下方的“Next” 按钮,在弹出的对话框中点击“File”栏的按钮,将此工程相关的所有VHDL文件加入进此工程(如果有的话)。
Cyclone系列的EP1C3T144C8按下“Next”后,出现仿真工具选择对话框。
不作任何选择。
4、完成设置点击“Next”后,完成工程的设定,点击“finish”。
步骤二:1、选择File/New或点击主菜单中的空白图标,进入新建程序文件状态,选择VHDL file 。
VHDL程序文件的扩展名是:* .vhd程序代码:-- A simple 3 to 8 decoderlibrary ieee;use ieee.std_logic_1164.all;entity decoder isport ( inp: in std_logic_vector(2 downto 0);outp: out std_logic_vector(7 downto 0)); end decoder;architecture behave of decoder isbeginoutp(0) <= '1' when inp = "000" else '0';outp(1) <= '1' when inp = "001" else '0';outp(2) <= '1' when inp = "010" else '0';outp(3) <= '1' when inp = "011" else '0';outp(4) <= '1' when inp = "100" else '0';outp(5) <= '1' when inp = "101" else '0';outp(6) <= '1' when inp = "110" else '0';outp(7) <= '1' when inp = "111" else '0';end behave;步骤三:1、选择菜单“File”→“New ” →“ Schematic File”,即弹出原理图编辑框。
EDA 实验指导书
EDA技术基础实验指导书海南大学信息学院编目录实验一MAX –plusII及开发系统使用 1 实验二高速四位乘法器设计7 实验三秒表的设计9 综合性设计性实验实验四序列检测器的设计12 实验五数字频率计的设计14 数字密码锁17 交通灯控制器182EDA实验指导书实验一MAX –plusII及开发系统使用一、实验目的1、熟悉利用MAX-plusⅡ的原理图输入方法设计简单的组合电路2、掌握层次化设计的方法3、熟悉DXT-BⅢ型EDA试验开发系统的使用二、主要实验设备PC 机一台(中档以上配置),DXT-B3 EDA实验系统一台。
三、实验原理数字系统设计系列实验是建立在数字电路基础上的一个更高层次的设计性实验。
它是借助可编程逻辑器件(PLD),采用在系统可编程技术(ISP),利用电子设计自动化软件(EDA),在计算机(PC)平台上进行的。
因为本实验是在计算机平台上进行,因此实验方式,实验手段和实验仪器与传统的实验有很大的区别,主要体现在以下几个方面:1、实验器材集中化,所有实验基本上在一套实验设备上进行。
传统的实验每作完一个实验,实验器材基本上都要变动(个别除外)。
而做本实验时,只要在计算机上把不同的程序输进去,其它步骤所有实验都一致;2、实验耗材极小(基本上没有耗材);3、在计算机上进行,自动化程度高,人机交互性好,修改、验证实验简单;4、下载后,实验结果清晰;5、实验仪器损耗少,维护简单;下面,我们就本套实验设备做一个简单的介绍。
3(一)Max+plusⅡ10.0的使用。
1、Max+PlusII软件的安装步骤:第一步:系统要求奔3CPU以上,128M内存以上,4G 以上硬盘,98 操作系统(98或Me操作系统才可以下载,其他操作系统下载必须安装驱动,否则只能仿真,如果大家只进行仿真的话,对系统没要求)第二步:安装点击安装可执行文件进行安装,安装完毕后会弹出一对话框,点击是或否都可以。
第三步:将安装文件夹中的License 文件夹打开,里面有一个License.bat 注册文件,将此文件复制到你的安装目录下(你的安装目录可放在任一个驱动器下,然后建立一个Max10的文件夹,将系统安装在此文件夹中,安装后此文件夹中会有三个文件夹)的任一个文件夹中,要清楚位置。
EDA实验指导书
实验一熟悉QUARTUS Ⅱ的设计过程[输入方式:文本输入、图形输入、波形输入等]实验目的:1、掌握QUARTUSⅡ安装过程;2、熟悉QUARTUSⅡ设计环境;3、掌握QUARTUSⅡ的设计过程。
实验内容及步骤一、安装QUARTUS II。
注:第一次安装QUARTUS要安装license。
二、QUARTUSⅡ设计开发步骤(一)、创建工作文件夹在windows中建立一个文件夹(又称工作库或WORK LIBRARY),用于保存设计工程项目的有关文件。
注:设计工程项目的有关文件不能保存在根目录下,必须保存在一个文件夹之下。
例如建立的文件夹:E:\HADD(二)、启动Quartus II点击QUARTUSⅡ7.0图标打开QUARTUSⅡ7.0设计窗口。
(三)、设计文件输入1、打开输入文件编辑器点击菜单File\new…新建立一个文本设计文件。
用文本输入法输入程序。
程序见附录。
2、保存文件,文件名名同实体名。
后缀.v(四)、全编译(逻辑综合)1、创建工程点击菜单File\New Project Wizard…….进行工程设置。
完成工作文件夹的选定、工程名、顶层设计文件名、编程器件的确定等工程。
2、编译前的相关设置设置⑴选择PLD芯片:Assignmenmts\Settings\Device弹出的窗口中选择选择芯片。
⑵选择配置芯片的工作方式:Assignmenmts\Settings\Device\Device&Pin Options弹出的窗口中首选General项,在Options栏中选择Auto-restart-configuration after error.⑶选择配置芯片和编程方式:Assignmenmts\Settings\Device\Device&Pin Options弹出的窗口中选择Configuration栏,在窗口中设置配置方式,配置芯片和是否生成压缩的配置文件。
⑷选择输出设置:保持默认。
EDA实验指导书--新XXXX(新)印刷
淮阴工学院EDA技术实验指导书编者: 叶小婷电子与电气工程学院2014年6月7日目录实验一基于QUARTUSII 图形输入电路的设计ﻩ116实验二基于VHDL 格雷码编码器的设计ﻩ18实验三含异步清零和同步使能的加法计数器ﻩ实验四八位七段数码管动态显示电路的设计ﻩ20实验五数控分频器的设计ﻩ22实验六图形和VHDL 混合输入的电路设计............................ 2326实验七四位并行乘法器的设计ﻩ实验八基本触发器的设计ﻩ2830实验九四位全加器设计ﻩ实验十矩阵键盘显示电路的设计ﻩ3235实验十一用VHDL 设计七人表决器ﻩ37实验十二用VHDL 设计四人抢答器ﻩ实验九熟悉PROTEL99环境ﻩ39实验十原理图设计 (42)44实验十一元件制作与网络表操作ﻩ47实验十二印刷电路板设计ﻩ附录一实验箱常用管脚分配表...................................... 49 51附录二参考程序ﻩ实验一基于QUARTUSII 图形输入电路的设计一、实验目的1.通过一个简单的3—8译码器的设计,掌握组合逻辑电路的设计方法。
2.初步了解QUARTUSII 原理图输入设计的全过程。
3.掌握组合逻辑电路的静态测试方法。
二、实验设备1.PC机一台;2.Altera Blaster下载器一根;3.THGSC-3型实验箱一台。
三、实验原理3-8译码器三输入,八输出。
当输入信号按二进制方式的表示值为N时,输出端标号为N的输出端输出高电平表示有信号产生,而其它则为低电平表示无信号产生。
因为三个输入端能产生的组合状态有八种,所以输出端在每种组合中仅有一位为高电平的情况下,能表示所有的输入组合。
译码器不需要像编码器那样用一个输出端指示输出是否有效。
但可以在输入中加入一个输出使能端,用来指示是否将当前的输入进行有效的译码,当使能端指示输入信号无效或不用对当前信号进行译码时,输出端全为高电平,表示无任何信号。
EDA实验指导书
EDA实验指导书计算机科学与技术系2008.09目录实验一Quartus II 开发环境实验 (3)实验二半加器 (18)实验三全加器 (19)实验四多路数据选择器 (21)实验五编码器 (23)实验六7段数码管控制接口 (25)实验七计数器 (28)实验八MOORE机 (30)实验九MEALY机 (34)实验十串入/并出移位寄存器 (38)实验十一并入/串出移位寄存器 (40)实验十二数字钟 (42)实验一Quartus II 开发环境实验一、实验目的:1、通过一个简单的3-8译码器的设计,让学生掌握组合逻辑电路的设计方法。
2、掌握组合逻辑电路的静态测试方法。
3、初步了解可编程器件设计的全过程。
二、实验步骤:Quartus II软件的基本操作与应用三、实验内容:学习Quartus II软件的基本操作并设计3人表决器一.Quartus II 7.2 启动◆方法一、直接双击桌面上的图标,可以打开Quartus II 7.2 软件;◆方法二、执行:【开始】→【程序】→【Altera】→【Quartus II 7.2】→【Quartus II 7.2 TalkBackInstall】菜单命令,可以打开软件。
◆启动软件后,若你的电脑没有连接到Internet互联网,会出现提示,提示你没有连接到Altera的官方网站,将无法获得更新的资源。
点击〖确定〗继续,因为这不影响软件的正常使用。
二.Quartus II 7.2软件界面Quartus II 7.2软件的默认启动界面如下图所示,由标题栏、菜单栏、常用工具栏、资源管理窗口、程序编译或仿真运行状态的显示窗口、程序编译或仿真的结果显示窗口和工程编辑工作区组成。
三.Quartus II 7.2软件使用1. 新建项目工程使用QuartusII7.2设计一个数字逻辑电路,并用时序波形图对电路的功能进行仿真,同时还可以将设计正确的电路下载到可编程的逻辑器件(CPLD、FPGA)中。
《EDA》实验指导书--精讲
辽东学院自编教材《可编程逻辑器件原理及应用实验》指导书李海成编(计算机科学与技术、电子信息工程专业用)姓名:学号:班级:信息技术学院2013年6月目录目录 (1)实验一MAX+PLUS-II设计三八译码器......... 错误!未定义书签。
实验二半加器 . (2)实验三带进位输入的8位加法器 (4)实验四数据比较器 (6)实验五编码器 (9)实验六组合逻辑电路的设计 (12)实验七计数器 (14)实验八触发器功能的模拟实现 (17)(被加数)Ai(被加数)Bi(半加和)Hi(本位进位)Ci实验二 半加器实验类型: 验证性实验课时: 2指导教师: 李海成 时 间:201 年 月 日 课 次:第 节教学周次:第 周实验分室: 实验台号: 实 验 员:一、 实验目的1.设计并实验一个一位半加器2.掌握CPLD/FPGA 组合逻辑设计基本方法。
二、 实验原理计算机中数的操作都是以二进制进位的,最基本的运算就是加法运算。
按照进位是否加入,加法器分为半加器和全加器电路两种。
计算机中的异或指令的功能就是求两个操作数各位的半加和。
一位半加器有两个输入、输出,如图2-1。
图2-1 一位半加器示意图表2-1一个半加大路的真值表如表2-1所示,根据真值表可得到半加器的函数表达式:Bi Ai Bi Ai Hi ∙+∙= Bi Ai Ci ∙=三、 实验连线半加器的两个输入所对应的管脚同两位拨码开关相连,两个输入管脚名为a 、b ;两个输出所对应的管脚同两位发光二极管相连,两个输出管脚名为 c0和s,其中c0表示进位, s 表示相加结果。
四、 实验记录五、实验注意事项1.提前编辑实验程序。
2.根据教师要求正确操作,并检验逻辑的正确性六、思考题1.EDA半加器实现与数字电路设计方法的根本区别。
2.简述EDA设计半加器的不同方法,并比较其优缺点。
3.心得体会及其他。
实验三 带进位输入的8位加法器实验类型: 验证性实验课时: 2 指导教师:时 间:200 年 月 日 课 次:第 节教学周次:第 周实验分室: 实验台号: 实 验 员:一、 实验目的1. 设计并实现一个8位全加器2. 掌握EDA 中模块调用方法 二、 实验原理利用实验二构建的半加器构建一位的全加器,然后设计一个8 位的全加器,其框图如图4-1所示。
EDA实验指导书_实验指导06_EDA_硬件综合实验
“电子设计自动化(EDA)”实验指导书(六)一、实验课程编码:105009二、实验课程名称:电子设计自动化(EDA)三、实验项目名称:综合硬件实验二(带时分秒按键调整和静态数码管显示的数字钟)四、实验目的1)学会看硬件原理图,2)掌握FPGA硬件开发的基本技能3)培养EDA综合分析、综合设计的能力五、主要设备1)PC机,2)硬件实验箱,3)Max plusII软件开发平台。
六、实验内容1.6个数码管静态显示驱动2.按键模式选择(时\分\秒)与调整控制3.用硬件描述语言(或混合原理图)设计时、分、秒计数器模块、按键控制状态机模块、显示译码模块、顶层模块。
要求使用实验箱右下角的6个静态数码管(DS8C, DS7C, DS4B, DS3B, DS2B, DS1B)显示时、分、秒;要求模式按键和调整按键信号都取自经过防抖处理后的按键跳线插孔。
七、实验步骤1.打开Max plusII ,连接实验箱上的相关硬件资源,如下图1所示。
2.建立新文件,选择文本类型或原理图类型。
3.编写程序。
4.编译5.仿真,加载程序到芯片,观察硬件输出结果(数码管显示)6.结果正确则完成。
若结果不正确,则修改程序,再编译,直到正确。
图1 综合硬件实验二对应的硬件资源连接八、实验结果直接观察实验箱的数码管显示、操作按键进行控制和调整。
数字钟包括正常的时分秒计时,DS2B DS1B.125 H z.25 H z.5 H zH zH zH zH z4 H z56 H z024 H z096 H z6384 H z2768 H z5536 H z50 K H z.5 M H zM H zM H z2 M H z4 M H zHour 00-23 Minute 00-59Second 00-59实验箱右下角的6个静态数码管(DS8C, DS7C, DS4B, DS3B, DS2B, DS1B)显示时、分、秒。
adjust 递增调整时分秒,mode按键循环调整模式。
EDA技术实验指导书
实验一 QuartusII 软件使用方法一、QuartusII简介QuartusII是Aitera公司推出的新一代开发软件,适合于大规模逻辑电路设计。
QuartusII支持多种编辑输入法,包括原理图输入法,VHDL、VerilogHDL 和AHDL的文本输入法,符号编辑输入法,以及内存编辑输入法。
QuartusII与MATLAB和DSP Buider结合可以进行基于FPGA的DSP系统开发,是DSP硬件系统实现的关键EDA工具,与SOPC Buider结合,可实现与SOPC系统开发。
二、设计流程2.1设计流程图图1-12.2创建工程首先建立工作库目录F:\ 0620101 \ sy1.(注意:文件夹名不能用中文。
)执行菜单File\New Preject Wizard命令,对“工程设置”对话框进行设置。
图1-2 工程设置图中第一行表示工程所在的工作库文件夹,第二行表示此项工程的工程名,工程名可以取任何其它的名,也可直接用顶层文件的实体名作为工程名,第三行是当前工程顶层文件的实体名。
单击Finish按钮,在工程管理窗中可以看到本工程项目的层次结构和各层次的实体名。
2.3设计输入2.3.1 VHDL文本输入选择菜单File\New,在New窗口中的Device Design Files中选择文件的类型为VHDL File (如图1-4所示)。
在VHDL文本编译窗中输入VHDL程序后保存,存盘文件名应该与实体名一致,扩展名为*.vhd。
图1-4 文件类型选择2.3.2 原理图输入在图 1-4中选择Block Diagram/Schematic File进入原理图编辑器。
如图1- 5所示。
图1-5 原理图编辑执行命令:Edit\Insert Symbol 或双击鼠标左键,将出现元件选择对话框,如图1-6。
进行器件选择和输入逻辑电路符号及进行电路连接,如图1-7。
绘制完成后,点击保存按钮,对原理图进行保存,扩展名为*.bdf。
EDA实验指导书
实验三 简易一位数码显示系统(4 小时)
一 实验目的 1. 掌握典型数码显示系统组成及工作原理。 2. 掌握基本组合逻辑电路的 FPGA 实现。 3. 熟练应用 Quartus II 进行 FPGA 开发。 硬件需求 1.EDA/SOPC 实验箱一台。 实验原理
二 三
图 3-1 一位数码显示系统 图 3-1 中 0~9 对应十个拨段开关(或按钮) ,bmq 为二-十进制编码器,负责对 0~9 进行二十制编码 (例如 8421 码) , xian 为显示译码器。 具体原理参见数字电子技术教材或相关课件。 四 实验内容 本实验要完成的任务是设计一个一位数码显示器。 具体的实验过程就是利用 EDA/SOPC 实验箱上的拨挡开关模块的 K1~K9 作为电路输入,电路输出接八段码显示器,K1~K9 若 无动作则显示 0,否则显示对应数字。 五 实验步骤 完成四位全加器的实验步骤如下: 1.首先打开 Quartus II 软件,新建一个工程,并新建一个 VHDL File。 2.按照自己的想法,编写 VHDL 程序 3.对自己编写的 VHDL 程序进行编译并仿真。 4.仿真无误后,根据附录一的引脚对照表,对实验中用到的拨挡开关 及 LED 进行管脚绑定,然后再重新编译一次。 5.用下载电缆通过 JTAG 接口将对应的 sof 文件下载到 FPGA 中。 6.观察实验结果是否与自己的预期想法相吻合。
二 三
S
4 R 5
B 6 Q S
5 6
B 4 Q
图 4-1 基本触发器电路图
成,也可以由两个或非门交叉耦合组成。现在以两个与非门组成的基本触发器为例,来分析 其工作原理。根据与非逻辑关系,可以得到基本触发器的状态转移真值表及简化的真值表, 如下表 2-2 所示: 状态转移真值表 简化真值表
《EDA》实验指导书
EDA技术与应用实验指导书实验一MAX+PLUSII 软件使用实验一 实验目的1 熟悉MAX+PLUSII 软件的使用2 了解图形法的设计过程 二 实验内容1 在MAX+PLUSII 环境下,执行“File ”→“New ”命令,弹出如图1.1所示的“编辑文件类型”对话框,选择“Graphic Editor file ”后单击“OK ”按钮,进入MAX+PLUSII 图形编辑方式,其界面如图1.2所示。
图形编辑界面中的空白处,即原理图编辑区,相当于一张空白图纸,设计者可以在此画出自己的电路设计图。
图1.1 编辑文件类型对话框图形编辑器文件 符号编辑器文件 文本编辑器文件 波形编辑器文件图1.2图形编辑器界面在原理图编辑区的任何一个空白位置上双击鼠标的左键,将弹出一个“元件选择”对话框,如图1.3所示。
调出所需的器件后,连线,修改输入输出引脚的名称,存盘。
原理图如下:由此输入所需的元件名用户自己设置的元件库基本逻辑元件库老式宏函数元件库参数可设置的强函数元件库基本逻辑元件库的元件图1.3 元件选择对话框 图1.4 模12进制原理图2 编译执行“File ” →“Project ” →“Set Project Current File ”。
单击“MAX+plusII ” →“Compiler ”,在弹出的编译对话框中单击“Start ”按钮,即可对当前文件进行编译。
如果当前文件不存在问题,编译后的结果如图2.1所示。
在编译中,MAX+plusII 自动完成编译网表提取(Compiler Netlist Extractor )、数据库建立(Database Bulder )、逻辑综合(Logic Synthesizer )、逻辑分割(Partitioner )、适配(Fitter )、延时网表提取(Timing SNF Extractor )和编译文件汇编(Assembler )等操作,并检查设计文件是否正确。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
FPGA实验指导书天津大学仁爱学院目录1.实验一LED实验(验证性实验)2.实验二LED点阵实验(综合性实验)3.实验三 LCD显示实验(设计性实验)实验一:LED实验一、实验目的1. 熟悉ISE8.2开发环境,掌握工程的生成方法;2. 熟悉SEED-XDTK_V4实验环境;二、实验内容1. 创建工程;2. 添加HDL资源文件;3. 配置一个应用程序完成设计。
三、实验准备1. 通过USB口下载电缆将计算机的USB口及SEED-FEM025板的J9 连接好;2. 启动计算机,打开SEED-XDTK_V4实验箱电源开关。
观察SEED-FEM025板上的+5V(D11)的电源指示灯是否均亮。
若有不亮的,请断开电源,检查电源。
四、实验步骤1. 创建工程1) 双击桌面Xilinx ISE8.2快捷方式打开ISE工程管理器(Project Navigator);2) 打开Project Navigator后,选择File → New Project,弹出新建工程对话框;3) 在工程路径中单击“…”按钮,将工程指定到如下目录D: \02. V4_lab,单击确定;4) 在工程名称中输入led,点击Next按钮,如图1.1所示;图1.15) 弹出器件特性对话框。
器件族类型(Device Family)选择“Virtex4”,器件型号(Device)选“XC4VSX25 FF668 -10”,综合工具(Synthesis T ool)选“XST (VHDL/Verilog)”,仿真器(Simulator)选“ISE Simulator”,如图1.2;图1.26) 单击Next按钮,弹出创建新资源(Create New Soure)对话框,可以使用这个对话框来创建新的HDL资源文件,或者也可以创建工程后,新建HDL资源文件,如图1.3;图1.37) 单击Next按钮,弹出添加存在资源对话框;可以使用这个对话框来添加已经存在的HDL资源文件,或者也可以创建工程后,添加HDL资源文件;在本实验中我们采用创建工程后,添加HDL资源文件的方式,如图1.4;图1.48) 单击Next按钮,将弹出工程建立完成对话框,单击finish按钮完成工程建立流程;9) 观察工程资源管理窗口,将会看到工程已经建立成功,如图1.5。
图1.52. 添加HDL资源文件1) 选择Project → Add Source命令,弹出的文件添加对话框;或者右键单击Sources中的led弹出的文件添加对话框,如图1.6;图1.62) 在弹出的对话框中将文件添加路径指向D:\02.V4_lab.2\led文件夹下,选择led.v文件,单击Open按钮,如图1.7;图1.73) 在弹出的对话框框中单击OK,如图1.8;图1.84) 单击OK按钮。
资源文件添加完成如图1.9。
图1.93. 设计实现1) 在工程的资源操作窗(Processes),双击Implement Design;如图1.10图1.102) 当设计实现(Implement Design)运行的过程中,展开设计实现命令(ImplementDesign),会看到实现过程中,首先是进行综合(Synthesis),然后才依次完成实现的步骤。
4. 管脚约束实现与重新实现1) 工程的Sources窗口,右键单击Sources中的led.v文件,弹出的文件添加对话框,如图1.11;图1.112) 添加led.ucf文件,顺序与上述添加HDL资源文件相类似如图1.12-1.14;图1.12 鼠标左键单击“打开(O)”图1.13 鼠标左键单击“OK”图1.14 鼠标左键单击“led左侧+”3) 在Processes窗口,扩展User Constraints并双击Assign Package Pins打开PACE,如图1.15;注意在PACE能启动之前必须先进行综合。
图1.154) 在PACE中浏览Design Object List-I/O Pins窗口,可看到所列的信号名称和信号方向是Output还是Input。
在Loc栏里每个信号对应的FPGA的管脚。
信号连接如下,在FPGA 的管脚分配需查看原理图,如图1.16;CLK_IN:管脚编号为AF12LED_DATA[0]:管脚编号为AD20其余管脚在此就不一一列出了。
图1.165) 在所有信号链接完成后,然后选择保存文件,如图1.17箭头所示位置;图1.176) 在Device Architecture窗口放大直到可以看清每个管脚;如图1.18;注意:图中粉红色彩条说明管脚在同一个bank中。
单击每个蓝色I/O管脚,则对应着Design Object List-I/O Pins窗口相应的管脚。
图1.187) 单击菜单栏中的File → Exit,退出PACE;8) 单击工程中Sources窗口中的led.ucf文件,然后双击Prosesses窗口中User Constraints目录下的Edit Constraints (Text),就可以看到由PACE生成的led.ucf管脚约束文件;当然我们也可以使用该命令,直接在文本中编写led.ucf文件;图1.199) 在工程的资源操作窗(Processes),双击Implement Design;对设计重新实现;10) 本例程中led.ucf文件早已存在,我们可以使用上文介绍过的文件添加方式将led.ucf文件直接添加到工程文件中。
5. 下载配置FPGA1) 在工程的Sources窗口单击顶层文件LED.v文件,展开Processes窗口中的GenerateProgramming File,双击Configure Device (iMPACT),如图1.20;图1.202) 弹出Welcome iMPACT对话框后,选择“Configure devices usingBoundary-Scan(JTAG)”,单击Finish按钮,如图1.21;图1.213) 在弹出的器件添加对话中,第一个器件选择bypass按钮,如图1.22;第二个器件也选择bypass按钮,如图1.23;图1.22图1.234) 最后一个器件单击所要下载的led.bit文件(此文件所在目录不可有中文),单击Open按钮,如图1.24;会弹出如图1.25的“Add Virtex-/II Pro/Virtex04...”对话框,单击OK按钮后弹出一个警告信息,单击OK按钮;图1.24图1.255) 单击xc4vsx25这个device,变成绿色,且iMPACT Processes Operations窗口出现可执行的操作;如图1.26和1.27图1.26图1.276) 在图1.27中,双击iMPACT Processes Operations窗口中的Program,或者在图1.26中,直接右键单击xc4vsx25这个device,选择Program。
会弹出Programming Properties对话框,如图1.28所示,单击OK按钮;图1.28程序开始下载,如果下载程序成功则出现Program Succeeded,否则如果失败则出现Program Failed,需要重新查找问题(尝试重新下载或者断电后重新下载程序);7) 程序下载成功后,可观察到SEED-XDTK_MBOARD板卡上LED灯依次点亮。
五、实验程序led.v`timescale 1ns / 1ps///////////////////////////////////////////////////////////////////////////////// Company:// Engineer:// Create Date:// Design Name:// Module Name: led// Project Name:// Target Devices:// Tool versions:// Description:// Dependencies:// Revision:// Revision 0.01 - File Created// Additional Comments:///////////////////////////////////////////////////////////////////////////////`define DIV_FACTOR 14'h3f98module led(CLK_IN, nRST,CPLD_E,LED_DATA,AD_nCS,DA_nCS,USB_nCS,LCD_nCS );input CLK_IN;input nRST;output [1:0] CPLD_E;output [7:0] LED_DATA;output USB_nCS;output AD_nCS;output DA_nCS;output LCD_nCS;reg CLK_OUT = 1'b1;reg [7:0] LED_DATA =8'h00;reg [13:0] DIV_counter = 14'h000;reg [11:0] clk_counter = 12'h000;//CPLD功能切换assign CPLD_E = 2'b10;//其他芯片功能禁止assign USB_nCS = 1'b1;assign AD_nCS = 1'b1;assign DA_nCS = 1'b1;assign LCD_nCS = 1'b1;always@(posedge CLK_IN)beginif(!nRST)beginCLK_OUT <= 1'b1;DIV_counter <= 10'h000;endelsebeginif(DIV_counter <= `DIV_FACTOR )beginDIV_counter <= DIV_counter + 1;endelsebeginDIV_counter <= 12'h000;CLK_OUT <= !CLK_OUT;endendendalways @(posedge CLK_OUT)beginclk_counter = clk_counter+1;case(clk_counter)12'h001:LED_DATA<=8'h01;12'h17D:LED_DATA<=8'h02;12'h2fa :LED_DATA<=8'h04;12'h477:LED_DATA<=8'h08;12'h5f4:LED_DATA<=8'h10;12'h771:LED_DATA<=8'h20;12'h96e:LED_DATA<=8'h40;12'hb6b:LED_DATA<=8'h80;12'hce8:clk_counter = 10'h000;default:clk_counter = clk_counter;endcaseendendmodule六、思考题:1 FPGA的中英文全称各是什么?2 实验箱所用FPGA型号是什么?共有多少个引脚?引脚可以分为哪几类?(eg.电源引脚。