8位可逆循环计数器

合集下载

最新8个led循环显示计数器

最新8个led循环显示计数器

长沙学院课程设计说明书题目8个LED循环显示、计数器的设计系(部) 电子与通信工程系专业(班级)电气工程及其自动化2姓名学号2010指导教师瞿瞾起止日期电子设计自动化设计任务书系(部):电子与通信工程系专业:电气工程及其自动化指导教师:瞿曌课题名称8个LED循环显示、计数器的设计设计内容及要求试设计一个8个LED循环显示,同时数码管也显示LED亮的次数。

由于系统时钟为50MHz,直接当作时钟会由于计数速度太快而无法使发光二极管正常发光,因此首先需要对系统时钟进行分频,然后再以分频后的时钟作为计数器的计数时钟。

要求设置3个按钮,一个供“开始”用,一个供“停止”用,一个供系统“复位”用;对8个LED循环显示的延续时间进行调整;调整步长自定。

系统提供50MHZ频率的时钟源。

完成该系统的硬件和软件的设计,并制作出实物装置,调试好后并能实际运用(指导教师提供制作所需的器件),最后就课程设计本身提交一篇课程设计说明书。

设计工作量1、VHDL语言程序设计;2、波形仿真;3、在实验装置上进行硬件测试,并进行演示;4、提交一份完整的课程设计说明书,包括设计原理、程序设计、程序分析、仿真分析、硬件测试、调试过程,参考文献、设计总结等。

进度安排起止日期(或时间量)设计内容(或预期目标)备注第1天课题介绍,答疑,收集材料第2天设计方案论证第3天进一步讨论方案, 对设计方案进行必要的修正,方案确定后开始进行VHDL语言程序设计第4天设计VHDL语言程序第5~9天在实验装置上进行硬件测试,对VHDL语言程序进行必要的修正,并进行演示第10天编写设计说明书教研室意见年月日系(部)主管领导意见年月日长沙学院课程设计鉴定表姓名学号专业电气工程及其自动化班级 2 设计题目8个LED循环显示、计数器的设计指导教师瞿曌指导教师意见:评定等级:教师签名:日期:答辩小组意见:评定等级:答辩小组长签名:日期:教研室意见:教研室主任签名:日期:系(部)意见:系主任签名:日期:说明课程设计成绩分“优秀”、“良好”、“及格”、“不及格”四类;目录一、设计任务 (1)二、设计要求 (1)三、设计原理 (1)四、设计步骤 (1)1)设计分频计数器 (1)2)设计数控分频 (2)3)LED灯循环设计 (3)4)数码管扫频 (3)5)8个数码管计数 (4)6)引脚分配 (6)五、设计流图 (6)六、程序语言 (6)七、设计思考与总结 (10)八、参考文献 (10)一、设计任务设计一个8个LED循环显示,同时数码管也显示LED亮的次数。

VLSI--8位可逆计数器

VLSI--8位可逆计数器

《VLSI设计基础》课程设计报告班级:电子科学与技术09级2班学号: ***********名:***指导教师:**一、摘要计数是一种最简单基本的运算,计数器就是实现这种运算的逻辑电路,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能,计数器是由基本的计数单元和一些控制门所组成,计数单元则由一系列具有存储信息功能的各类触发器构成,这些触发器有RS触发器、T触发器、D触发器及JK触发器等。

计数器在数字系统中应用广泛,如在电子计算机的控制器中对指令地址进行计数,以便顺序取出下一条指令,在运算器中作乘法、除法运算时记下加法、减法次数,又如在数字仪器中对脉冲的计数等等。

根据题目设计要求,设计一个8位计数器,选材可以有很多,比如八位二进制加法器,八位二进制减法器,我们也可以设计成带异步复位、同步加载及始终使能的八位二进制计数器,因此综合以上,我设计的是一个基于VHDL语言设计一个8位可逆计数器。

二、综述可逆计数器的工作原理与计数器原理是相同的,而最大的不同点是普通的计数器只能单向计数,而可逆计数器是可以向正反两个方向计数,这也是我设计的可逆计数器的最大不同点。

通过这个设计,我们需要掌握一些常用的电路设计、仿真共具软件的,并能熟练的应用。

三、方案设计与分析1.设计目的1)熟悉MAXPLUS2/Quartus II软件,掌握软件的VHDL程序输入、程序编译和程序仿真操作;2)学习利用VHDL语言设计数字时序电路程序;3)了解可逆计数器电路的工作方式。

2.设计要求利用VHDL语言设计八位可逆计数器电路,并利用相关软件进行编译和仿真,并通过其波形输入验证电路设计。

3.功能及要求:1)8位可逆计数器根据计数脉冲的不同,控制计数器在同步信号脉冲的作用下,进行加1或减1操作。

其真值表如下所示:表1 8位可逆计数器真值表2)可逆计数器的计数方向,由特殊的控制端UPDOWN控制。

(三)可逆计数器.

(三)可逆计数器.

时序逻辑电路的分析方法
时序逻辑电路分类(仿真演示) 新授:计数器 同步二进制:加法、减法、可逆 异步二进制:加法、减法、可逆 集成二进制计数器 注意学习每一种电路的连接规律 集成电路的学习:学会读功能表
5.2 计数器 (Counter)
5.2.1 计数器的特点和分类
一、计数器的功能及应用 1. 功能: 对时钟脉冲 CP 计数。 2. 应用: 分频、定时、产生节拍脉冲和脉冲 序列、进行数字运算等。
CR CPU CPD
BO
74193
CO LD
D1 Q1 Q0 CPD CPU Q2 Q3 地 CR LD 1 0 0 0 1 0 1 0 1 CPU CPD D3 D2 D1D0 d3 d 2 d1 d0 1 1 1 1
D0 D1 D2 D3 Q3n+1 Q2n+1 Q1n+1 Q0n+1
d2 d 1 d0
d3
d2
d1
d0
加 法 计 数 减 法 计 数 保 持
2) 74193(双时钟)
VCC D0 CR BO CO LD D2 D3
16 15 14 13 12 11 10 9
Q0 Q1 Q2 Q3
74193
1 2 3 4 5 6 7 8
CP0= CP CP1= Q0 CP2= Q1 B = Q2n Q1n Q0n
计数规律
加法计数
T 触发器的触发沿
上升沿 CPi = Qi-1 下降沿 CPi = Qi-1
减法计数
CPi = Qi-1
CPi = Qi-1
(三) 集成二进制异步计数器 74197、74LS197 VCC CR Q3 D3 D1 Q1 CP0

八路循环彩灯电路原理图

八路循环彩灯电路原理图

工作原理
该电路先由光敏电阻、继电器、9014三极管组成光控制电路,电路的光敏电阻受到光的照射下,光敏电阻呈低阻状态,使9014三极管的基极电位降低,处于截止状态,继电器K不吸合,灯不亮;当光敏电阻不受到光照条件,光敏电阻的阻值逐渐变大,9014三极管的基极电位上升,当上升到一定程度后,9014三极管导通,继电器K吸合,电路有输出,灯亮。

再由555定时器、74LS90计数器、74LS138译码器组成八路彩灯循环电路(如图2)。

74LS90计数器的时钟
由555震荡电路提供,改变555的震荡频率可改变计数器的计数快慢,即可控制彩灯的闪烁快慢,计数器输出信号输入至138译码器,由138译码,根据计数器输出不同的计数结果,即可控制138译码器译码得到8种不同的输出信号,决定控制彩灯的循环变化。

显然,不同的计数器与译码器电路,得到的是不同的彩灯循环控制结果。

若译码器不变,在计数器的控制端输入不同的控制信号,进行不同的计数,则在输出端可见不同的彩灯循环输出。

八位计数器

八位计数器

八位计数器一、实验要求练习在Modesim下编写Verilog程序,熟悉Modelsim运行过程,并学会用Verilog语言编写一个八位计数器。

二、实验内容八位计数器的真值表如下:由真值表可设计源代码如下:1.代码(1)功能模块:module Counter(jcounter,clk,rst);//输入和输出端口声明output [7:0] jcounter;input clk,rst;reg [7:0] jcounter;//使用always语句,且为多个电平触发always @(posedge clk or negedge rst)if(!rst)jcounter<=0;elsebeginif(!jcounter[0])jcounter[7:0]<={1'b1,jcounter[7:1]};elsejcounter[7:0]<={1'b0,jcounter[7:1]};endendmodule(2)测试模块:module stimulus;//设置变量reg clk,rst;wire [7:0] jcounter;//实例引用CounterCounter JCounter(.jcounter(jcounter),.clk(clk),.rst(rst));//设置信号的监视initial$monitor($time,"clk=%b,rst=%b,---jcounter=%b\n",clk,rst,jcounter) ;//初始值的设置initialbeginclk=1'b0;rst=1'b0;#10 rst=1'b1;end//产生时钟always#5 clk=~clk;//结束仿真initial#500 $finish;endmodule2.仿真结果# 0clk=0,rst=0,---jcounter=00000000 ## 5clk=1,rst=0,---jcounter=00000000 ## 10clk=0,rst=1,---jcounter=00000000 ## 15clk=1,rst=1,---jcounter=10000000 ## 20clk=0,rst=1,---jcounter=10000000 ## 25clk=1,rst=1,---jcounter=11000000 ## 30clk=0,rst=1,---jcounter=11000000 ## 35clk=1,rst=1,---jcounter=11100000 ## 40clk=0,rst=1,---jcounter=11100000 ## 45clk=1,rst=1,---jcounter=11110000 ## 50clk=0,rst=1,---jcounter=11110000 ## 55clk=1,rst=1,---jcounter=11111000 ## 60clk=0,rst=1,---jcounter=11111000 ## 65clk=1,rst=1,---jcounter=11111100 ## 70clk=0,rst=1,---jcounter=11111100 ## 75clk=1,rst=1,---jcounter=11111110 ## 80clk=0,rst=1,---jcounter=11111110 ## 85clk=1,rst=1,---jcounter=11111111 ## 90clk=0,rst=1,---jcounter=11111111## 95clk=1,rst=1,---jcounter=01111111 ## 100clk=0,rst=1,---jcounter=01111111 ## 105clk=1,rst=1,---jcounter=00111111 ## 110clk=0,rst=1,---jcounter=00111111 ## 115clk=1,rst=1,---jcounter=00011111 ## 120clk=0,rst=1,---jcounter=00011111 ## 125clk=1,rst=1,---jcounter=00001111 ## 130clk=0,rst=1,---jcounter=00001111 ## 135clk=1,rst=1,---jcounter=00000111 ## 140clk=0,rst=1,---jcounter=00000111 ## 145clk=1,rst=1,---jcounter=00000011 ## 150clk=0,rst=1,---jcounter=00000011 ## 155clk=1,rst=1,---jcounter=00000001 ## 160clk=0,rst=1,---jcounter=00000001 ## 165clk=1,rst=1,---jcounter=00000000 #3.波形三、实验小结本次实验之前,我对计数器的功能、结构有一定的了解,但对于Verilog语言不是很了解。

格雷码同步八进制计数器顺序脉冲发生器

格雷码同步八进制计数器顺序脉冲发生器

格雷码同步八进制计数器顺序脉冲发生器一、概述格雷码是一种具有循环特性的二进制代码,具有顺序性和交替性两个特点。

在电子系统中,格雷码作为一种具有抗干扰能力的计数器顺序脉冲发生器,被广泛应用于数字系统、定时器等领域。

本文将介绍一种基于格雷码同步的八进制计数器顺序脉冲发生器的设计原理、实现方法及其应用场景。

二、设计原理1.硬件结构:该脉冲发生器采用基本的数字电路元件,包括计数器、译码器、驱动器和滤波器等。

计数器用于产生时钟信号,译码器用于解码格雷码,驱动器将解码后的信号驱动输出,滤波器用于滤除信号中的噪声。

2.工作原理:首先,计数器产生一个时钟信号,该信号作为整个系统的基准信号。

在时钟信号的上升沿和下降沿,译码器分别输出当前数值的前一个和后一个格雷码数值,驱动器根据译码器的输出信号驱动输出电路,产生顺序脉冲。

由于采用了滤波器,输出信号中的噪声得到了有效抑制。

三、实现方法1.电路设计:根据硬件结构,设计电路图,根据电路图制作电路板,并连接各个元件。

同时,需要选择合适的芯片和电阻电容等元件,确保电路的稳定性和可靠性。

2.编程控制:编写控制程序,实现计数器的计数值控制。

程序应具有定时器和中断功能,以适应不同的应用场景。

同时,需要与硬件电路进行通信,实现格雷码的解码和输出。

3.调试优化:连接电源和测试设备,进行电路调试和优化。

测试过程中应注意测试信号的稳定性和输出脉冲的正确性。

同时,需要不断优化程序和电路设计,提高系统的性能和稳定性。

四、应用场景该脉冲发生器适用于各种需要定时和顺序脉冲的电子系统,如数字钟、数控机床、交通信号灯等。

在这些系统中,该脉冲发生器可以提供准确的定时信号,确保系统的稳定运行。

同时,由于其具有抗干扰能力强的特点,该脉冲发生器还适用于对精度和稳定性要求较高的应用场景。

五、创新点与优势1.创新点:本设计采用了格雷码同步技术,使得脉冲发生器的输出具有更高的顺序性和稳定性。

此外,电路设计简单可靠,易于实现。

8路输出的彩灯循环控制电路数电说明书(内附电路图)

8路输出的彩灯循环控制电路数电说明书(内附电路图)

绪论数字电子技术已经广泛地应用于计算机,自动控制,电子测量仪表,电视,雷达,通信等各个领域。

例如在现代测量技术中,数字测量仪表不仅比模拟测量仪表精度高,功能高,而且容易实现测量的自动化和智能化。

随着集成技术的发展,尤其是中,大规模和超大规模集成电路的发展,数字电子技术的应用范围将会更广泛地渗透到国民经济的各个部门,并将产生越来越深刻的影响。

随着现代社会的电子科技的迅速发展,要求我们要理论联系实际,数字电子逻辑课程设计的进行使我们有了这个非常关键的机会。

随着科学的发展,人们生活水平的提高,人们不满足于吃饱穿暖,而要有更高的精神享受。

不论是思想,还是视觉,人们都在追求更高的美。

特别使在视觉方面,人们不满足于一种光,彩灯的诞生让人们是视觉对美有了更深的认识。

本设计是一个彩灯控制器,使其实用于家庭、商场、橱窗、舞厅、咖啡厅、公共广场等场所的摆设、装饰、广告、环境净化与美化。

本次课程设计在编写时参考了大量优秀教材,并得到太原科技大学机械电子工程学院测控技术与仪器教研室刘畅老师的大力支持,他提出来许多的意见和建议,在此表示衷心的感谢。

由于编者水平有限,本设计说明书难免出现不妥之处,恳请老师和广大读者给与批评并提出宝贵的意见,我将由衷地欢迎与感激。

编者2010年于太科大目录绪论 (1)一、课程设计题目 (3)二、课程设计目的 (4)三、课程设计基本要求: (4)四、课程设计任务和具体功能 (5)五、工作原理 (5)六、设计总框图 (6)七、电路元器件的说明 (6)八、总电路图 (27)九、调试与检测 (28)十、误差分析: (28)十一、设计心得体会。

(28)附录 (28)参考文献 (28)一、课程设计题目:8路输出的彩灯循环控制电路二、课程设计目的:1、巩固和加强“数字电子技术”、“模拟电子技术”课程的理论知识的理解和应用。

2、掌握电子电路的一般设计方法,了解电子产品研制开发的过程。

3、提高电子电路实验技能及Multisim10仿真软件的使用能力。

8位串入并出的转换器

8位串入并出的转换器

设计8位串入并出的转换电路一、实验目的:1、学习MAX+ plusⅡ软件的使用方法。

2、熟悉和掌握原理图设计的流程。

二、实验内容:用74194、74273、D触发器等器件组成8位串入并出的转换电路,要求在转换过程中数据不变,只有当8位一组数据全部转换结束后,输出才变化一次。

三、设计思路1.、利用2片74194对输入信号进行移位将其输出至各个2片74194输出端,再将各个输出端接74273将信号输出,以实现串入并出的功能。

2、通过控制74273的时钟来实现串入并出的位数,题目中为8位,可用74194的输出进行控制。

3、通过调整各芯片和D触发器的时钟触发沿以完成当8位一组数据全部转换结束后,才进行输出。

四、实验步骤:本实验基于MAX+ plusⅡ原理图设计实现一个8位串入并出的转换电路,主要分3步实现:设计输入、设计编译、设计仿真。

首先在windows操作系统启动“Quartus II”,进入下图所示的集成开发环境。

1、设计输入新建工程:为工程添加原理图:调用D触发器:调用芯片74194:调用芯片74273调用非门:调用INPUT和OUTPUT将各元器件连接起来,原理图设计完成。

2、设计编译:文件建立完毕,点击右上角的紫红色按钮Start Compilation进行编译3、设计仿真:进行软件仿真Example.vwf:左侧右键->Insert Node or Bus(或直接双击),在对话框中选择Node Finder,导入输入输出端创建波形文件:加入节点:选择端口:选择完成:进行仿真:五、原理图分析(*时钟信号每周期为上升沿开始)1、输入信号经过一个时钟信号下降沿触发的D触发器,将信号输入第一片74194的SRSI。

2、将第一片74194的Q4接第二片74194的SRSI,A端接vcc,将两片74194的SLSI,S0接vcc,其余A,B,C,D端接地,将第二片74194的Q4输出端过下降沿触发的D触发器,接入到两片74194的S1。

可逆计数器的设计

可逆计数器的设计

EDA设计基础实验课程论文题目可逆计数器的设计学院电子工程学院专业班级通信081班学生姓名王力宏指导教师大力会2013年6月12日摘要本设计介绍了Verilog-HDL语言在可逆计数器的具体应用,给出了仿真波形并下载到FPGA开发板上实际验证。

说明了实现电子电路的自动化设计(EDA)过程和EDA技术在现代数字系统中的重要地位及作用.关键词:Verilog-HDL EDA FPGA开发板仿真AbstractThis design describes the Verilog-HDL language in reversible counter the specific application, the simulation waveforms downloaded to the FPGA development board and the actual verification. Illustrates the realization of electronic circuit design automation (EDA) process and EDA technology in the modern digital systems in an important position and role. Keywords: State Machine Verilog-HDL EDA FPGA development board Simulation目录摘要 (I)Abstract (I)第1章绪论 (2)1.1 概述 (2)1.1.2 EDA的发展趋势 (2)1.2 硬件描述语言 (3)1.3 FPGA介绍 (4)第2章可逆计数器设计的基本理论 (6)2.1 设计原理 (6)2.2 电路设计系统仿真 (6)2.2.1 编辑文件 (6)2.3.2 创建工程 (6)2.3.2 仿真 (7)第3章系统的仿真结果 (9)3.1 编译成功 (9)3.2 波形图 (10)3.3 原理图 (11)第4章心得体会 (12)结论 (13)参考文献 (14)附录1 (15)致谢 (17)第1章绪论1.1 概述EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。

可逆计数器的工作原理

可逆计数器的工作原理

可逆计数器是一种电子计数器,它可以对输入的脉冲信号进行计数,并且可以正向和反向计数。

下面将详细介绍可逆计数器的工作原理。

一、可逆计数器的组成可逆计数器主要由以下几个部分组成:输入接口:用于接收外部的脉冲信号。

计数器:用于对输入的脉冲信号进行计数。

控制电路:用于控制计数器的正向和反向计数。

输出接口:用于输出计数值。

二、可逆计数器的工作原理输入接口输入接口的作用是接收外部的脉冲信号。

当外部的脉冲信号输入到输入接口时,计数器开始进行计数。

计数器计数器是可逆计数器的核心部件,它会对输入的脉冲信号进行计数。

在每个脉冲信号的上升沿或下降沿时,计数器会进行一次计数。

当计数器的计数值达到预设值时,输出接口会输出相应的信号。

控制电路控制电路的作用是控制计数器的正向和反向计数。

当控制电路接收到正向计数的指令时,计数器会从0开始进行正向计数;当控制电路接收到反向计数的指令时,计数器会从预设值开始进行反向计数。

输出接口输出接口的作用是输出计数值。

当计数器的计数值达到预设值时,输出接口会输出相应的信号。

三、可逆计数器的应用可逆计数器在许多领域都有广泛的应用,例如:工业自动化:在工业自动化领域中,可逆计数器可以用于对生产过程中的各种参数进行监测和记录,例如温度、压力、流量等。

通过可逆计数器,可以实现对这些参数的精确测量和控制。

电子测量:在电子测量领域中,可逆计数器可以用于对各种电信号进行测量和记录,例如电压、电流、频率等。

通过可逆计数器,可以实现对这些电信号的精确测量和分析。

医疗设备:在医疗设备领域中,可逆计数器可以用于对各种生理参数进行监测和记录,例如心率、血压、呼吸频率等。

通过可逆计数器,可以实现对这些生理参数的精确监测和分析。

交通运输:在交通运输领域中,可逆计数器可以用于对车辆的速度、里程等进行监测和记录。

通过可逆计数器,可以实现对车辆的运行状态和运行轨迹的精确监测和分析。

智能家居:在智能家居领域中,可逆计数器可以用于对各种家电的运行状态进行监测和记录。

一种8位可逆计数器的设计及应用

一种8位可逆计数器的设计及应用
C CP P FC CP C FC CP PI P P P FC
m总是在 l d跳变 的时候也跳变 ,并一直持续到借位信号 o a 的下降沿再跳变 ( 这里 ba k信号不 作用 , l n 即一直置 为高电 平) 。因此 , 我们可以选择在 c的下降沿时刻使 la od信号跳 变 .这样 r o信号能在借位信号间跳变。r o的产生是在 la od 信号与 c信号的共同作用下产生 的, 它为一个周期信号 , 其 周期公式可推导如下 :由于进位输 出与借位输 出具有一定
器。
设计分为两步 : 8位减法计数器 的设 计和加减控制模块
的设计 :
0c, 时的情 况 )r l =
C= P I时 。G 。G T 1T 3截 止 ,G ,G T 2T 4导 通 , 主锁 存 器 是 即 透 明 的 , 点 M( 图 3 将 跟 随 D 输 入 端 变 化 , 时 , 锁 节 见 ) 此 从
收稿 日期 :o 5 7 1 2 0—0 — 5
作者 简介 :  ̄
(92 18-
)女 , 州大学在读研 究生 , 。 福 主要研 究方向为集成电路 的设 计。
维普资讯
② 时钟方程
所构成 的是 同步计数 器 。显然各个触发器 的时钟信号
都 应 使 用 相 同的输 入 计 数 脉 冲 , 即
C ,■
时, 输出 Q随着输入 D的变化而变化 , 均保持上一 时刻 其余
O 的值 。
本 文所 介绍计数器 的主体 部分触发 器就 是 由该结构 的
D触 发 器组 成 的 。 2 8位 单 时钟 可 逆 计 数 器 的工 作 原 理
可 逆 计 数 器 是 既能 进 行 加 法 计 数 。 能 进 行 减 法 计 数 的 又

可逆计数器的工作原理

可逆计数器的工作原理

可逆计数器的工作原理可逆计数器是一种能够在输入信号的作用下,按照一定的规则完成从零到最大值再到零的循环计数的数字电路。

其主要特点是能够根据输入信号的反向操作,逆向计数并在达到最小值后再逆转方向重新计数。

本文将详细介绍可逆计数器的工作原理、结构和应用。

一、可逆计数器的工作原理可逆计数器通常由触发器、门电路和控制电路等组成。

其工作原理可分为两个方面:顺向计数和逆向计数。

1. 顺向计数当外部输入触发信号时,可逆计数器开始进行顺向计数,数字从0开始逐渐增加,直到达到最大值。

这一过程的实现依赖于触发器和门电路的组合,触发器用于存储并输出计数值,门电路控制计数值的递增。

当达到最大值时,顺向计数状态将结束。

2. 逆向计数当再次输入触发信号时,可逆计数器将根据逆向计数控制电路的指令,开始逆向计数。

数字逐渐减小,直到回到起始值。

逆向计数的实现同样依赖于触发器和门电路的组合,但是其逻辑条件与顺向计数相反。

当逆向计数达到最小值时,逆向计数状态将结束。

可逆计数器在顺向计数和逆向计数两个过程中,都能够根据外部输入信号的不同操作进行相应的计数操作,从而实现完整的循环计数功能。

二、可逆计数器的结构1. 触发器可逆计数器中的触发器通常采用D触发器或JK触发器,用于存储当前的计数数值,并在接收到控制信号时更新输出。

2. 门电路门电路主要用于控制计数值的递增和递减,其类型包括与门、或门、非门等,根据计数器的具体需求和设计选择合适的门电路。

3. 计数控制电路计数控制电路用于接收外部输入信号,并根据信号的不同操作控制触发器和门电路的工作状态。

以上三个组成部分共同构成了可逆计数器的结构,实现了可逆计数器的顺向计数和逆向计数功能。

三、可逆计数器的应用1. 工业计数器可逆计数器常用于工业控制系统中,例如用于轻工业生产线上产品的计数、故障检测、工件的计数等。

2. 计时器可逆计数器可以用于实现通用计时器,例如厨房计时器、运动计时器等,同时具备正向和逆向计数的功能。

FPGA实验报告8__模8可逆计数器

FPGA实验报告8__模8可逆计数器

Lab 8 模8可逆计数译码器集成1101班1.实验目的熟悉用Quartus编译Verilog语言的方法。

掌握用Verilog HDL 语言描述模8可逆计数器和3-8译码器综合的方法。

学会FPGA I/O引脚分配和实现过程。

2.实验内容a)使用Verilog语言实现模8可逆计数器和3-8译码器的设计。

b)得到仿真波形。

c)使用DE0开发板下载。

3.代码分析⏹主模块是模8可逆计数器,输出时以3-8译码器译码输出。

⏹输入时钟信号CP和控制信号CR和EN,输出一个八位二进制数L。

⏹定义一个reg型变量Q,实现可逆计数功能,然后译码输出。

module counter (L,CP,CR,EN);input CP,CR,EN;output [7:0]L;reg [2:0]Q;reg [7:0]L;always @(posedge CP or negedge CR)beginif (~CR) Q<= 3'd0;else if (~EN) //while EN==0,plus countingbeginif (Q>= 3'd7) Q<= 3'd0;elseQ<= Q+3'd1;endelse //while EN==1,decrise countingbeginif (Q<= 3'd0)Q<= 3'd7;elseQ<= Q-3'd1;endcase(Q)3'b000: L=8'b0000_0001;3'b001: L=8'b0000_0010;3'b010: L=8'b0000_0100;3'b011: L=8'b0000_1000;3'b100: L=8'b0001_0000;3'b101: L=8'b0010_0000;3'b110: L=8'b0100_0000;3'b111: L=8'b1000_0000;endcaseendendmodule4.实验步骤(1)打开Quartus9.1软件,选择“File=>New Project Wizard”,在弹出的窗口中输入项目的名称和存储位置。

可逆计数器的工作原理

可逆计数器的工作原理

可逆计数器的工作原理可逆计数器是一种能够在正向和反向两个方向进行计数的计数器。

它能够接收外部信号,根据信号的变化在计数器中进行相应的计数,同时也可以在需要时将计数器的计数值进行逆向操作。

可逆计数器在数字电子技术领域有着广泛的应用,比如在工控系统、通信系统、计算机系统等领域均有着重要的作用。

本文将对可逆计数器的工作原理进行详细的介绍。

可逆计数器一般由计数器部分和控制部分组成。

计数器部分通常由多个触发器构成,每个触发器都能存储一个二进制位。

而控制部分则负责对触发器进行合适的控制,使得计数器按照一定的规则进行计数和逆向计数。

可逆计数器能够在两个方向进行计数的关键在于其计数规则的灵活性。

在正向计数时,控制部分会将信号传递给每个触发器,使得触发器按照二进制码的规律进行递增;而在反向计数时,控制部分会相应地改变信号的传递路径,使得触发器按照递减的规律进行计数。

可逆计数器的工作原理可以从以下几个方面来介绍:可逆计数器需要有适当的控制逻辑,来实现正向和反向计数的切换。

一般来说,计数器会有一个控制信号,用于选择计数的方向。

当该控制信号为高电平时,计数器进行正向计数;当该控制信号为低电平时,计数器进行反向计数。

可逆计数器中的触发器需要能够实现逆向计数的功能。

通常,这需要借助一些逻辑电路来实现触发器状态的切换。

在正向计数时,通过适当的逻辑电路保持触发器状态的递增;而在反向计数时,逻辑电路则使得触发器状态按照递减的规律进行改变。

可逆计数器需要考虑到计数器的复位和加载功能。

复位功能可以让计数器在需要时重新初始化为初始状态,而加载功能则可以实现在特定的计数值进行载入。

这些功能的实现需要考虑到控制信号的生成和触发器状态的控制。

可逆计数器的工作原理是基于适当的控制逻辑和逻辑电路的设计,能够实现正向和反向两个方向的计数,并且具有复位和加载等功能。

通过合理的组织和控制触发器的状态,实现了可逆计数器的灵活计数。

这种能够在正向和反向两个方向进行计数的特性,使得可逆计数器在数字电子技术领域有着广泛的应用前景。

用GAL设计八位二进制可逆同步计数器

用GAL设计八位二进制可逆同步计数器

第20卷第4期西安工业学院学报V ol120No14 2000年12月JOU RN AL OF XI p AN IN ST IT U T E OF T ECHN OL OGY Dec12000用GAL设计八位二进制可逆同步计数器X田军委,李少康,王建华,李平(西安工业学院机械工程系,陕西西安710032)摘要:介绍了可编程逻辑器件P LD的主要器件)通用阵列逻辑G AL的结构特点和主要性能特点,并阐述了用GA L设计八位二进制可逆同步计数器的方法.同时介绍一种通用逻辑设计软件)CU PL语言.文中着重介绍了八位二进制可逆同步计数器的功能特点及其用GAL设计的方法.这对于经常使用GAL进行数字系统设计的同行,具有较大的借鉴意义.关键词:可编程逻辑器件;八位二进制可逆同步计数器;CU PL语言;宏定义中图号:T P27412文献标识码:A文章编号:1000-5714(2000)04-0322-05The design of eigh-t bit binary reversible synchronouscounter with GAL deviceTIAN Jun-w ei,L I Shao-kang,WANG Jian-hua,L I p ing(Dept of M ech Engr,Xi p an Inst of T ech,Xi p an710032,China)Abstract:T he characteristics of the structur e and the performance of major dev ices of the programmable log ic device)))the generic array log ic(GAL)device are introduced.T hen the method of using GAL to desig n the eig ht-bit binar y reversible synchronous counter and a kind of logic design softw are)))CU PL language ar e also intro duced.It can be used as a r eference fo r the people w ho often use PL D to improve their dig ital system design.Key Words:programmable log ic device;eight-bit binary r eversible synchronous counter;CU PL languag e;macro definition引言CNC齿轮测量中心是80年代发展起来的机电结合的新型齿轮量仪,其位移传感器一般选用光栅尺,齿轮测量中心的精度主要取决于光栅尺的刻线密度和光栅读数头输出信号的后继处理.本文主要介绍西安工业学院测量与控制技术研究所研制的CNC齿轮测量中心光栅计X收稿日期:2000-06-16作者简介:田军委(1973-),男(汉族),西安工业学院助教,从事计算机辅助测试研究.数卡的构成及用GAL 器件设计八位二进制可逆同步计数器的方法.1 光栅计数卡的构成光栅计数卡主要由预处理电路、计数电路、锁存器和CAMAC 总线组成.预处理电路主要对相差90b 的方波进行四倍频处理和鉴向处理.光栅读数头输出的信号经EXE110倍频器倍频,输出两路相差90b 的方波,预处理电路将这两路信号转化为四倍频单波和方向信号输入计数电路,计数电路对四倍频方波进行可逆计数,计数方式由方向信号控制.计数电路计数值经锁存器通过CAMAC 总线送入计算机处理.计数电路还具备同步置数和异步清零功能,所置数值经过由计算机通过CAMAC 总线经锁存器置入,清零功能根据清零信号由计数电路异步清零.其结构框图如图1.图1 光栅计数卡结构图光栅计数卡预处理电路采用C5194来实现四倍频和鉴向,计数电路主要由八位二进制可逆同步计数器74AS867组成,但目前国内市场上74系列集成电路最高编号仅到688,购买74AS867必须从美国德州仪器等公司进口,订购周期长,价格昂贵,不符和电路设计经济性原则.近年来,PLD 器件以其设计灵活性受到广大用户的欢迎,因此作者用PLD 的一种)))GAL (Generic Array Logic)器件来设计八位二进制可逆同步计数器.2 GAL22V 10管脚定义74AS867为可预置数的八位二进制可逆同步计数器,对单脉冲同步加减计数,有同步并行置数和异步清零功能,其计数功能由计数使能控制端ENT 、ENP 控制,当二者均为底电平时允许计数,否则保持上一次计数值,867置数、输出均采用并行方式.图2 引脚定义本文设计的八位二进制可逆同步计数器,除并行输入输出引脚外,还需要脉冲输入、计数使能、进位位、功能选择五个端口,总计12个输入端口,9个输出端口,因此选择GAL22V10来实现本设计.GAL22V10是常用的GAL 器件之一,它共有10个输入输出宏单元,可实现组合逻辑输出或寄存器输出,最多可提供10路输入输出和12路输入,可满足本设计对输入输出的要求.本设计所选的GAL22V10各引脚定义如图2.D 0~D 7 并行数据输入Q 0~Q 7并行数据输出EN记数使能,为0时允许记数CP时钟输入RC 0进位输出323第4期 田军委等:用GA L 设计八位二进制可逆同步计数器324西安工业学院学报第20卷S0、S1功能选择,其功能如表1所示下面根据此定义采用CUPL语言实现上述功能.3CU PL语言源程序设计CUPL通用开发软件出现于80年代中期,属于编译类软件,其语言结构类似于C语言,可读性好,与其他语言相比,CU PL语言通用性更强,并且有很强的模拟功能,便于用户通过软件来检验设计逻辑是否合理.目前流行的电子设计软件Protel98、Protel99、Protel2000中,PLD 设计部分均采用CUPL语言,这些软件把程序格式模板化,加强了CU PL语言宏定义功能,使输出形式灵活多变,便于用户根据自己的需求选取,本文使用的CU PL语言即在Protel98环境下运行.3.1设计思想该八位二进制可逆同步计数器由时钟脉冲触发来计数、置数,对数据有保持功能,计数器的下一状态和当前状态有关,故采用寄存器输出形式,使输出由时钟脉冲触发而变化.在各种寄存器中,D触发器输入输出关系最为简单,因而输出宏定义为D触发器输出.74AS867通过ENT、ENP两个引脚来控制计数功能,实际应用中这两个管脚常常短接,因此,本设计用一位计数使能端EN控制计数器计数或保持.清零和置数功能与EN状态无关.控制引脚具体功能如表1.表1GAL计数器功能表功能S0S1EN=0EN=100清零清零01置数置数10减计数保持11加计数保持此前有些工程人员用GAL器件设计出四位可逆同步计数器,其实现方法为:每一位的状态由前边各位状态决定,逻辑式中列出所有可能情况.这种设计下,各逻辑式组成无太大差别.但对本次设计而言,由于所设计计数器为八位,若采用逐位加减设计,高几位的/或0项会超过八项,超过GAL器件对/或0项的最大要求.用这种方法设计的八位计数器的第六位计数逻辑式为D6.D=!EN&amp;(S0&amp;S1&amp;D0&amp;D1&amp;D2&amp;D3&amp;D4&amp;D5&amp;!D6 #S0&amp;!S1&amp;!D0&amp;!D1&amp;!D2&amp;!D3&amp;!D4&amp;!D5&amp;!D6) CUPL语言在编译此式时将/与非0项当作/或0项来处理,这样,上式就有九个/或0项,若加上其他功能,/或0项就远超过八项.但低位逻辑式相对要简单的多,如最低位表达式为D0.D=!S0&am p;S1&amp;Q0#EN&amp;(S0&amp;!D0)#!EN&amp;D0&amp;!(!S0&amp;S1)逻辑式中仅含三个/或0项,资源远未用尽.在这种情况下,如果选用新一代GAL器件GAL39V18,该方法就可完成设计,但低位资源将会大量浪费.本文提出分块设计方案.分块设计思想在软件设计和大型硬件系统设计中应用较为广泛,其设计思想是把复杂的工作分解为若干个相对简单的工作来完成,这主要从分工角度来考虑,作者对其赋以新的思想)))从资源分配角度来对系统进行分解.基于这一思想,作者模仿硬件设计,用两个低位计数器设计一个高位计数器.经过反复试验,用低五位可逆同步计数器和高三位可逆同步计数器组合成八位可逆同步计数器,低五位计数器进位值赋给中间节点Z0,Z0将资源/转借0给高三位,高三位根据Z0来确定翻转或保持,从而使逻辑式得以化简,满足GAL 对/或0项的要求.以往用GAL 设计的可逆计数器中,清零功能由清零端和各位直接相/与0来实现,八位二进制可逆同步计数器的清零也可用!(S 0#S 1)项和各位/与0来实现,这种方法不但增加/或0项,使逻辑式复杂,而且仅能实现同步清零,不符和设计要求,因此作者没有采用这种设计方法,而采用了CUPL 语言的.AR 宏,计数器每一位在.AR 宏作用下,即时响应清零信号,无须等待时钟脉冲的到来,这样,无论计数器有无CP 信号输入,只要有清零信号,计数器即可马上清零.同样,对于保持功能,作者没有采用传统方法直接在每一位后/与0上控制信号,而是在每位逻辑式后加一/或0项(!EN&amp;Di&am p;!(!S0&amp;S1)),当EN=0且非置数功能时,计数器保持最后计数结果.将上述逻辑功能有机结合起来,对逻辑式进行简单化简就可得出各位逻辑表达式,据此可以编写CUPL 语言源程序.3.2 CU PL 语言源程序源程序由程序首部、引脚定义、逻辑表达式、程序说明等四部分组成.程序首部按固定格式编写,包含设计者、设计日期、所用器件、版本号等设计信息;引脚定义部分根据设计要求定义GAL 器件各引脚的功能和符号;逻辑表达式部分用CUPL 语法描述各输出脚逻辑赋值条件;说明部分主要说明各部分功能、实现条件以及注释语句.这几部分中,逻辑表达式部分是设计的核心,由于篇幅限制,本文仅给出逻辑表达式部分的描述.首先是低五位可逆计数器的设计.低五位采用传统的可逆计数器设计方法,每一位表达式包含所有赋值条件,包括计数、置数等全部功能,其逻辑表达通式如下Di.D=!S0&amp;S1&amp;Q i#EN&amp;(S0&amp;S1&amp;D0&amp;,&amp;D (i-1)&amp;!Di#S0&amp;S1&amp;!(D0&amp;,&amp;D(i-1))&amp;Di#S0&amp;!S1&amp;(D0#,#D(i-1))&amp;Di #S0&amp;!S1&amp;!(D0#,#D(i-1))&amp;!Di)#!EN &amp;Di&amp;!(!S0&amp;S1) (0&lt;i&lt;5)D0.D =!S0&amp;S1&amp;Q 0#EN &amp;(S0&amp;!D0)#!EN &amp;D0&amp;!(!S0&amp;S1) (i=0)设计完低五位可逆计数器并给出Z0逻辑式后就可以设计高三位可逆计数器.高三位根据Z0的值及其下各位状态以及控制位、功能位状态来变化.加计数时,如果其下相邻一位至第六位均为1,当Z0=1时,该位发生跳变,否则保持;减计数时,如果其下相邻一位至第六位均为0,当Z0=1时,该位发生跳变,否则保持.其逻辑表达通式如下:Di.D=!S0&amp;S1&amp;Q i #EN &amp;(S0&amp;S1&amp;Di&amp;!(D5&amp;,&amp;D(i-1)&amp;Z0)#S0&amp;325第4期 田军委等:用GA L 设计八位二进制可逆同步计数器326西安工业学院学报第20卷S1&amp;!Di&amp;D5&amp;,&amp;Di(i-1)&amp;Z0#S0&amp;!S1&amp;Di&amp;(D5#,#D(i-1)#!Z0)#S0&amp;!S1&amp;! Di&amp;!(D5#,#D(i-1)#!Z0))#!EN&amp;Di&amp;!(!S0&amp;S1)(8&gt;i&g t;5)D5.D=!S0&amp;S1&amp;Q5#EN&amp;(S0&amp;S1&amp;D5&amp;!(zo)#S0&amp;S1&amp;!D5&amp;Z0#S0&amp;!S1&amp;D5&amp;(!Z0)#S0&amp;!S1&amp;!D5&amp;!(!Z0))#!EN&amp;D5&amp;!(!S0&amp;S1)(i=5)根据以上描述写出各位逻辑表达式,加上其他部分就得出完整源程序,该程序编译后就产生GAL器件的熔丝图.逻辑表达式在编译时由系统自动化简,编译后产生标准JEDEC文件,此文件写入GAL22V10即可实现所要求的功能.CUPL语言也支持基于逻辑图的PLD设计,作者曾试着用两个四位可逆计数器来搭接八位计数器,结果同样遇到了GAL资源不足的情况,最后,作者选择了5+3的结构模式,这种模式使GAL22V10的资源分配比较合理.4结束语作者在设计时选用响应为15ns的GAL器件,实现了上述全部功能,并将所设计器件成功的应用于CNC齿轮测量中心光栅计数卡中,该计数卡在应用中性能稳定,其响应甚至高于原使用74AS867的计数卡.参考文献:[1]周永钊.通用逻辑阵列(GAL)[M].合肥:中国科学技术大学出版社,1989[2]艾明晶.用可编程器件取代BCD-二进制转换器的设计[J].测控技术,2000,19(3):54[3]宋俊德,新德禄.可编程逻辑器件(PLD)原理与应用[M].北京:电子工业出版社,1994[4]居悌.可编程逻辑器件的开发与应用[M].北京:人民邮电出版社,1995[5]李平.CN C测量中心光栅数据输入通道设计[J].西安工业学院学报,1996,16(3):221。

可逆计数器

可逆计数器
3.进位和借位
当加法计数到最大数时,进位CO=H,再 来一个时钟,计数器返0,同时CO=L,CO出 现一个宽度等于时钟周期高电平的脉冲。而进 位输出端 RCO 则输出一个较窄的低电平,其上 升沿正好出现在应产生进位的时刻,所以 RCO 常用于多位计数器串行级联时的进位信号;如 果采用并行CP控制,即好几片计数器的时钟接 在一起,RCO应接到后一级的 CTEN 端。
对于74LS193(2/16进制):
CO = QDQCQBQA CP+
当减法计数达到[0000]时,在下一个CP-的 上升沿来到时,该计数器应给出借位脉冲,可 由下式确定。
对于74LS192(2/10进制)和74LS193(2/16 进制):
BO = QDQCQBQA CP−
多片74LS192级联使用时,低位片的RC和Rb 可分别作为高位片的CP+和CP-。这种扩展方式, 片间是异步工作的,所以多片级联时计数速度要 下降。
减法计数的态序是:
15→14→13→12→11→10→9→8→7→6→5→4 →3→2→1→0→15
4.进位和借位 当加法计数达到最大数时,下一个CP+上升
沿来到时,该位计数器应返回[0000],同时给出 一个进位脉冲,该进位脉冲也应处于上升沿。
对于74LS192(2/10进制):
CO = QDQA CP+

× × ABCD ABCD
HL
L
× × × × 加计数
HL
H
× × × × 减计数
HH
× × ××××
保持
1.计数功能 当 CTEN = L时,CP的上升沿来到时,计数器计
数。当 D=/LU时加计数;当 D=/HU时减计数。

用GAL设计八位二进制可逆同步计数器

用GAL设计八位二进制可逆同步计数器

用GAL设计八位二进制可逆同步计数器
田军委;李少康;王建华;李平
【期刊名称】《西安工业大学学报》
【年(卷),期】2000(020)004
【摘要】介绍了可编程逻辑器件PLD的主要器件-通用阵列逻辑GAL的结构特点和主要性能特点,并阐述了用GAL设计八位二进制可逆同步计数器的方法.同时介绍一种通用逻辑设计软件-CUPL语言.文中着重介绍了八位二进制可逆同步计数器的功能特点及其用GAL设计的方法.这对于经常使用GAL进行数字系统设计的同行,具有较大的借鉴意义.
【总页数】5页(P322-326)
【作者】田军委;李少康;王建华;李平
【作者单位】西安工业学院,机械工程系,陕西,西安,710032;西安工业学院,机械工程系,陕西,西安,710032;西安工业学院,机械工程系,陕西,西安,710032;西安工业学院,机械工程系,陕西,西安,710032
【正文语种】中文
【中图分类】TP274.2
【相关文献】
1.GAL十进制可逆计数器的设计 [J], 谢富珍
2.异步二进制可逆计数器的设计 [J], 刘振来;张志荣;李守义;石玉军
3.基于Verilog语言的4位二进制可逆计数器的设计 [J], 杨柳;李百伦;徐杨;李鹏
飞;殷海博;葛楠
4.设计任意模长二进制同步计数器的一种新方法 [J], 胡长林;周云晓
5.JT54LS161四位同步二进制计数器的优化设计 [J], 王林
因版权原因,仅展示原文概要,查看原文内容请购买。

计数器的分类

计数器的分类

1. 按照进位制数(计数器的模数)划分:★ 二进制计数器随着计数脉冲(时钟脉冲)的输入,按照二进制数规律计数的计数器称为二进制计数器,再具体划分,2个状态循环的是1位二进制计数器,4个状态循环的是2位二进制计数器,8个状态循环的是3位二进制计数器,以此类推。

★ 十进制计数器随着计数脉冲(时钟脉冲)的输入,按照十进制数规律计数的计数器称为十进制计数器,与二进制计数器的内涵不同的是,这里不区分位数,也就是说,十进制计数器就是10个状态循环的计数器。

★进制计数器 除了上述的二进制计数器和十进制计数器外,其他进制(其他 个状态循环)的计数器,都统称为进制计数器。

2. 按计数的增减趋势(状态迁移顺序)划分:★ 加法计数器工作循环中,状态以递增规律迁移的计数器就称为加法计数器,也叫递增计数器。

★ 减法计数器工作循环中,状态以递减规律迁移的计数器就称为减法计数器,也叫递减计数器。

★ 可逆计数器既可以进行递增计数,也可以进行递减计数的计数器,称为可逆计数器,也叫双向计数器。

一般而言,可逆计数器设置有加减控制信号,加减控制信号的不同信号输入,决定了该计数器具体的状态迁移顺序,上一节中,【例8.2.2】所示电路就是一个典型的可逆计数器。

3. 按时钟脉冲输入方式(计数器工作方式)划分:★ 同步计数器计数器电路中,使用的触发器类型相同,且共用相同的计数脉冲(时钟脉冲)信号时,就称为同步计数器,其内部的触发器的工作点一致,状态变化同时发生。

★ 异步计数器计数器电路中,使用的触发器的工作点不一致,状态变化的时间不一致,就称为异步计数器。

从电路结构上看,异步计数器内部,往往有的触发器的时钟信号是输入的计数脉冲,有的触发器的时钟信号却是其他触发器的输出状态。

N N N按照时钟脉冲输入方式来划分计数器种类,其实际含义,和时序逻辑电路分为同步时序电路和异步时序电路的划分是一致的,常常就称为计数器按照工作方式的区分。

综合上述三种分类方式,形成了计数器繁多的种类区分,如图8.3.1所示。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

一、概述随着科技的日益进步,计数器得到了越来越广泛的应用,发展的也越快。

在数字系统中使用的最多时序逻辑电路要算是计数器了。

计数器不仅能用于对时钟脉冲计数,还可以用于分频、定时、产生节拍脉冲和脉冲序列以及进行数字运算等。

本次实验的思路主要是设计一个8位可逆循环计数器,该计数器加计数到最大值(11111111)时输出一个周期的高电平信号(表示计数器达到最大值)并自动变为减计数,减计数到最小值(00000000)是输出一个周期的高电平信号(表示计数器达到最小值)并自动变为加计数。

如此循环往复。

要求设计层次化。

实验中用到的器件主要包括74LS191D、7段显示器、555组成的多谐振荡电路和多个门电路。

二、方案论证为了实现本实验的主要内容,首先要利用555定时器制成一个多谐振荡电路作为时间脉冲为同步十六进制加/减计数器74LS191D提供时间信号,并且要将多谐振荡电路的频率设为HZ,即周期为秒。

因为要实现计数器加到最大值(11111111)(或最小值(00000000))时输出一个周期的高电平信号,故需要加入多个门电路和灯泡,同时要求加数器数器自动变为减数器(或减数器自动变为加数器)故利用T触发器实现该功能,并能够实现循环往复的功能。

其原理框图如图1所示。

图1 电路的原理框图脉冲信号直流稳压电源滤波、整波555时钟信号脉冲电路74LS191D十六进制计数器74LS191D十六进制计数器数码管显示输出一个周期的高电平信号计数器自动的由加数器转换为减数器或相反三、电路设计1.直流稳压电源电路直流稳压电源包括电源电容电阻;其组成电路如图2所示。

图2 直流稳压电源电路2. 时钟信号脉冲电路555定时器是一种多用途的数字—模拟混合电路,利用它能极方便的构成多谐振荡器,且成本低,只需外接几个电阻、电容,就可以实现多谐振荡来产生时钟信号用来计时。

电容C2通过R3和R4开始充电,充到1/3Vcc时,输出上升为高电平,电容继续充电,直到2/3Vcc时,输出又降为低电平,电容向R3放电,放到1/3Vcc时,又开始充电,一直循环,就形成了脉冲。

充电的时间T1=R1C ln2,放电时间T2=(R1+R2)C ln2,所以一个周期的时间为T=T1+T2=(R1+2R2)C ln2。

令C2=C3=10uF,T=1s,所以f=1Hz。

在此我们设置R1=44k,R2=50k即可达到输出频率为1s的脉冲信号。

由此组成的555多谐振荡电路如图3所示。

图3 时钟信号脉冲电路3.计数器电路此电路是由两片74LS191D组成,当所需进制超过本身进制,则需要用芯片间的级联来实现其功能。

如图4所示。

图4 计数器电路4.数码管显示电路。

此部分是在以上部分基础上来实现的,主要有8个数码管组成。

显示8位二进制数。

其具体的电路图如下图5所示。

图5 数码管显示电路5.输出与计数器自动转换电路使用与门,当两计数器74LS191都输出1111(或0000)时输出一个周期的高电平信号,然后用非门、555和T触发器使计数器自动转换进行下一阶段的计数,并且不断循环、转换。

如图6所示。

图6 输出与计数器自动转换电路四、性能的测试1.直流稳压电源的测试对直流稳压电源进行测试,利用万能表对输出电压进行测量;其输出电压为5V,在允许的误差范围内,故此电路可行。

其测量结果如图7所示。

图7 直流稳压电源测试值2.时钟信号脉冲电路测试用示波器对多谐振荡电路输出脉冲进行测量,其信号输出波形如图8所示。

3.电路整体性能测试1)加数器加到最大值:电路正常工作,数码管显示最大值(11111111),输出一个周期的高电平信号。

运行结果如图9所示。

图9 加数器加到最大值状态图2) 减数器见到最小值时,电路正常工作。

如图10所示。

图10 减数器减到最小值五、结论经过测试评定该电路能正常工作并能完成课设要求的基本功能。

实现的基本功能如下:1)加数器能加到最大值,减数器能减到最大值;2)当最大值(或最小值)时能够输出一个周期高电平信号。

3)电路能够自动装换并循环往复。

六、性价比此电路的设计主要采用了常见的74LS191D 片子,且只用了两片,电路利用的是555构成的多谐振荡电路,控制电路则采用了多个门电路。

总体来说这些元器件在我们数字电子技术领域内都是比较常见的,且价格低廉,利用这些元器件组成的8位循环可逆计数器电路可以说性价比非常高。

且电路的设计理念也比较合理。

七、课设体会及合理化建议本次课设历时两个多星期,通过本次课设我对数字电子技术基础有了更深的了解与体会,充分的体现出了这次课程设计的目的。

在刚拿到课设题目时候自己慌了,U174LS191DA 15B 1C 10D9~U/D 5QA 3QB 2QC 6QD7~CTEN 4~LOAD11~RCO13MAX/MIN 12CLK14U274LS191DA 15B 1C 10D9~U/D 5QA 3QB 2QC 6QD7~CTEN 4~LOAD11~RCO13MAX/MIN 12CLK14U3LM555CMGND 1DIS 7OUT3RST 4VCC8THR 6CON5TRI 2U4LM555CMGND 1DIS 7OUT3RST 4VCC8THR 6CON5TRI 2U5DCD_HEXU6DCD_HEX U7DCD_HEX U8DCD_HEX U9DCD_HEX U10DCD_HEX U11DCD_HEX U12DCD_HEXU13A 74LS08DU14D_FFD Q ~QRESETCLKSETU15A74LS05DR11.0kΩR2510kΩC1100pFC2100pFVCC5VR310ΩR41kΩC3330nFR51.0kΩR6510kΩC4100pFC5100pFXSC1ABCDG TX12.5 V本来就学的不好对于课设题目更是摸不到头脑,无从下手。

考完试后,赶快借书,到网上找资料,可是,我没有找到,于是一切回归于课本,我找到了加减计数器,555,还学会运用门电路等等,才算是刚刚起步,随后一个星期没有进展,还是在老师的帮助下才解决问题。

在此次课设中,我学到不少知识,对数电了解的更深了。

合理化建议:1)老师与学生之间的沟通交流应该更多,老师会交给我们更多东西。

2)在学习了新知识后,我们应该多多实践,增强动手能力。

参考文献[1] 阎石主编. 数字电子技术. [M]北京:高等教育出版社,2006年[2] 陈振官等编著. 新颖高效声光报警器. [M]北京:国防工业出版社,2005年[3] 关静主编. 数字电路应用设计. [M]北京:科学出版社,2009年[4] 童诗白主编. 模拟电子技术基础. [M]北京:高等教育出版社,2009年[5] 华满清主编.电子技术实验与课程设计.[M]北京:机械工业出版社,2005年附录I 总电路图U 174L S 191DA 15B 1C 10D9~U /D 5Q A 3Q B 2Q C 6Q D 7~C T E N 4~L O A D 11~R C O 13M A X /M I N 12C L K14U 274L S 191DA 15B 1C 10D9~U /D 5Q A 3Q B 2Q C 6Q D 7~C T E N4~L O A D 11~R C O 13M A X /M I N 12C L K14U 3L M 555C MG N D1D I S7O U T 3R S T4V C C8T H R6C O N5T R I2U 4L M 555C MG N D1D I S7O U T3R S T4V C C8T H R6C O N5T R I2U 5D C D _HE XU 6D C D _H E X U 7D C D _H E X U 8D C D _H E X U 9D C D _H E X U 10D C D _H E X U 11D C D _H E X U 12D C D _HE XU 13A 74L S 08DU 14D _F F D Q~QR E S E TC L KS E TU 15A 74L S 05DR 11.0k ΩR 2510k ΩC 1100p FC 2100p FV C C5VR 310ΩR 41k ΩC 3330n FR 51.0k ΩR 6510k ΩC 4100p FC 5100p FX S C 1A B C DGTX 12.5 V附录II 元器件清单序号编号名称型号数量1 U1、U2 十六进制加/减计数器74LS191D 22 U3、U4 定时器LM555CM 23 C1、C2、C4、C5/C3 电容100pF/330nF 44 U14 D触发器D_FF 15 U5、U6、U7、U8、U9、U10、U11、U12数码管DCD_HEX 86 U13 与门74LS08D 17 U15 非门74LS05D891011。

相关文档
最新文档