计数器和移位寄存器设计仿真实验报告
移位寄存器实验报告结果
一、实验目的本次实验的主要目的是通过搭建移位寄存器实验电路,验证移位寄存器的逻辑功能,并了解其在数字系统中的应用。
实验内容包括:移位寄存器的基本原理、实验电路搭建、实验现象观察和结果分析。
二、实验原理移位寄存器是一种具有移位功能的寄存器,它可以实现数据的串行输入和串行输出。
在时钟脉冲的作用下,移位寄存器中的数据可以依次左移或右移。
根据移位寄存器存取信息的方式不同,可分为串入串出、串入并出、并入串出、并入并出四种形式。
本实验选用的是4位双向通用移位寄存器,型号为74LS194或CC40194。
74LS194具有5种不同操作模式:即并行送数寄存、右移、左移、保持及清零。
其逻辑符号及引脚排列如图1所示。
图1 74LS194的逻辑符号及其引脚排列三、实验电路搭建1. 电路元件准备:74LS194芯片、电阻、电容、二极管、连接线等。
2. 电路搭建:按照图1所示,将74LS194芯片的引脚与电阻、电容、二极管等元件连接,形成移位寄存器实验电路。
3. 电源连接:将电源正负极分别连接到电路板上的VCC和GND端。
四、实验现象观察1. 实验现象一:串行输入,并行输出。
(1)将74LS194的SR端接地,SL端接高电平,S1、S0端接高电平,CR端接地。
(2)使用串行输入端输入数据,观察并行输出端的数据变化。
(3)实验现象:当输入串行数据时,并行输出端依次输出对应的数据。
2. 实验现象二:并行输入,串行输出。
(1)将74LS194的SR端接地,SL端接高电平,S1、S0端接低电平,CR端接地。
(2)使用并行输入端输入数据,观察串行输出端的数据变化。
(3)实验现象:当输入并行数据时,串行输出端依次输出对应的数据。
3. 实验现象三:左移、右移操作。
(1)将74LS194的SR端接地,SL端接高电平,S1、S0端分别接高电平和低电平,CR端接地。
(2)观察移位寄存器中的数据在时钟脉冲的作用下左移或右移。
(3)实验现象:在时钟脉冲的作用下,移位寄存器中的数据依次左移或右移。
北京科技大学数电实验四 Quartus II集成计数器及移位寄存器应用
北京科技大学实验报告学院:高等工程师学院专业:自动化(卓越计划)班级:自E181姓名:杨威学号:41818074 实验日期:2020 年5月26日一、实验名称:集成计数器及其应用1、实验内容与要求(1)用74161和必要逻辑门设计一个带进位输出的10进制计数器,采用同步置数方法设计;(2)用两个74161和必要的逻辑门设计一个带进位输出的60进制秒计数器;2、实验相关知识与原理(1)74161是常用的同步集成计数器,4位2进制,同步预置,异步清零。
引脚图功能表其中X。
3、10进制计数器(1)实验设计1)确定输入/输出变量输入变量:时钟信号CLK、复位信号CLRN;输出变量:计数输出QD、QC、QB、QA,进位输出RCO,显示译码输出OA、OB、OC、OD、OE、OF、OG2)计数范围:0000-10013)预置数值:00004)置数控制端LDN:计数到1001时输出低电平5)进位输出RCO:计数到1001时输出高电平画出如下状态转换表:CP QDQCQBQA0 00001 00012 00103 00114 01005 01016 01107 01117 10009 100110 0000(2)原理图截图仿真波形如下功能验证表格CLRN QD QC QB QA RCO0 0 0 0 0 01 0 0 0 1 01 0 0 1 0 01 0 0 1 1 01 0 1 0 0 01 0 1 0 1 01 0 1 1 0 01 0 1 1 1 01 1 0 0 0 01 1 0 0 1 11 0 0 0 0 04、60进制秒计数器(1)实验设计1)确定输入/输出变量输入变量:时钟信号CLK、复位信号CLRN;输出变量:计数十位输出QD2、QC2、QB2、QA2和计数个位输出QD1、QC1、QB1、QA1,进位输出RCO2)计数范围:0000 0000-0101 10013)预置数值:0000 00004)置数控制端LDN1(个位):计数到0101 1001时输出低电平5)清零端CLRN2(十位):计数到0110时输出低电平6)ENT:个位计数到1001时输出高电平7)进位输出RCO:计数到1001时输出高电平画出如下状态转换表CP QD2QC2QB2QA2QD1QC1QB1QA1CPQD2QC2QB2QA2QD1QC1QB1QA1CPQD2QC2QB2QA2QD1QC1QB1QA10 0000 0000 20 0010 0000 40 0100 00001 0000 0001 21 0010 0001 41 0100 00012 0000 0010 22 0010 0010 42 0100 00103 0000 0011 23 0010 0011 43 0100 00114 0000 0100 24 0010 0100 44 0100 01005 0000 0101 25 0010 0101 45 0100 01016 0000 0110 26 0010 0110 46 0100 01107 0000 0111 27 0010 0111 47 0100 01118 0000 1000 28 0010 1000 48 0100 10009 0000 1001 29 0010 1001 49 0100 100110 0001 0000 30 0011 0000 50 0101 000011 0001 0001 31 0011 0001 51 0101 000112 0001 0010 32 0011 0010 52 0101 001013 0001 0011 33 0011 0011 53 0101 001114 0001 0100 34 0011 0100 54 0101 010015 0001 0101 35 0011 0101 55 0101 010116 0001 0110 36 0011 0110 56 0101 011017 0001 0111 37 0011 0111 57 0101 011118 0001 1000 38 0011 1000 58 0101 100019 0001 1001 39 0011 1001 59 0101 100160 0000 0000 (2)设计原理图截图(3)实验仿真仿真波形:仿真结果表:5、实验思考题:(1)总结任意模计数器的设计方法。
移位寄存器实验报告
移位寄存器实验报告移位寄存器和计数器的设计实验室:实验台号:日期:专业班级:姓名:学号:一、实验目的1. 了解二进制加法计数器的工作过程。
2. 掌握任意进制计数器的设计方法。
二、实验内容(一)用D触发器设计左移移位寄存器(二)利用74LS161和74LS00设计实现任意进制的计数器设计要求:以实验台号的个位数作为所设计的任意进制计数器(0、1、2任选)。
三、实验原理图1.由4个D触发器改成的4位异步二进制加法计数器(输入二进制:11110000)2.测试74LS161的功能3.熟悉用74LS161设计十进制计数器的方法。
①利用置位端实现十进制计数器。
②利用复位端实现十进制计数器。
四、实验结果及数据处理1.左移寄存器实验数据记录表要求:输入二进制:111100002.画出你所设计的任意进制计数器的线路图(计数器从零开始计数),并简述设计思路。
8进制利用复位法实现8进制计数器,8=1000B,将A端同与非门相连,当A端=1时,使复位端获得信号,复位,从而实现8进制。
五、思考题1. 74LS161是同步还是异步,加法还是减法计数器?答:在上图电路中74LS161是异步加法计数器。
2. 设计十进制计数器时将如何去掉后6个计数状态的?答:通过置位端实现时,将Q0、Q3 接到与非门上,输出连接到置位控制端。
当Q3=1,Q2=0,Q1=0,Q0=1,即十进制为9时,与非门输入端Q0、Q3同时为高电平,位控制端为低电位,等到下一个CP上升沿到来时,完成置数,全部置为0。
3. 谈谈电子实验的心得体会,希望同学们提出宝贵意见。
答:通过这学期的电子实验,我对电子电路有了更加深入地了解。
初步了解了触发器、寄存器、计数器等电子元件的使用。
将理论与实践相结合,更加深入的了解了电子技术,学到了很多,对这学期的电子实验十分满意。
Multisim数电仿真移位寄存器
4位移位寄存器仿真一、实验目的:1. 熟悉移位寄存器的工作原理及调试方法。
2. 掌握用移位寄存器组成计数器的典型应用。
二、实验准备:移位寄存器是一个具有移位功能的寄存器,是指寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。
既能左移又能右移的称为双向移位寄存器,只需要改变左、右移的控制信号便可实现双向移位要求。
根据移位寄存器存取信息的方式不同分为:串入串出、串入并出、并入串出、并入并出四种形式。
本实验选用的4位双向通用移位寄存器,型号为74LS194,其逻辑符号及引其中,3D 、2D 、1D 、0D 为并行输入端;3Q 、2Q 、1Q 、0Q 为并行输出端;R S 为右移串行输入端;L S 为左移串行输入端;1S 、0S 为操作模式控制端;R C 为直接无条件清零端;CP 为时钟脉冲输入端。
74LS194有5种不同操作模式:并行送数寄存;右移(方向由3Q →0Q );左移(方向由0Q →3Q );保持及清零。
1S 、0S 和R C 端的控制作用如表3.10.1所示。
表3.10.1:移位寄存器应用很广,可构成移位寄存器型计数器;顺序脉冲发生器;串行累加器;可用作数据转换,即把串行数据转换为并行数据,或并行数据转换为串行数据等。
把移位寄存器的输出反馈到它的串行输入端,就可进行循环移位,如图3.10.2所示。
把输出端0Q 和右移串行输入端R S 相连接,设初始状态3Q 2Q 1Q 0Q =1000,则在时钟脉冲作用下,3Q 2Q 1Q 0Q 将依次变为0100→0010→0001→1000→……,可见,它是一个具有四个有效状态的计数器,这种类型的计数器通常称为环形计数器。
图3.10.2电路可以由各个输出端输出在时间上有先三、计算机仿真实验内容:1.逻辑功能验证: (1). 并行输入:1). 从电子仿真软件Multisim7基本界面左侧左列真实元件工具条的“TTL ”元件库中调出74LS194;从“Basic ”元件库中调出单刀双掷开关8只;从“Source ”元件库中调出Vcc 和地线,将它们放置在电子平台上。
四位移位寄存器的设计和仿真
以往的软件版本已经不能对更小尺寸工艺下的电路进行设 计和仿真,而采用Tanner13此软件,可以进行更小尺寸工 艺下的电路的设计和仿真。因此,应用此软件可以把握时 代科技前沿,可以对更小模型进行研究。
内容提纲
研究课题的意义
设计过程
仿真过程 结论
设计过程
1、首先使用S-Edit生成CMOS反相器的电 路图和符号图。
其功能表如下所示:
输入 D 1 1 1 1 0 0 0 0
现态
次态
注
CP Q0 Q1 Q2 Q3 Q0 Q1 Q2 Q3 连续输入4个1
↓
0000
1000
↓
1000
1100
↓
1100
1110
↓
1110
1111
↓
1111
0111
连续输入4个0
↓
0111
0011
↓
0011
0001
↓
0001
0000
2、功耗分析
4、最高频率的分析
移位寄存器的最大的工作频率可以通过下面的公式进行计算,此公式的计算 需要知道上升时间和下降时间,其公式为:
f 1 ton toff
四位CMOS移位寄存器的关于上升时间和下降时间的分析我们可以得出,上升时间 为0.65ns,而且下降时间为0.77ns,从而通过此公式得到其最大的工作的频率为 f=1/0.65ns+0.77ns=704 MHZ。这是在空载下的最高工作频率。
寄存器实验实验报告
寄存器实验实验报告一. 引言寄存器是计算机中重要的数据存储器件之一,用于存储和传输数据。
通过对寄存器进行实验,我们可以更好地理解寄存器的工作原理和应用。
本实验旨在通过设计和测试不同类型的寄存器,深入掌握寄存器的各种功能和操作。
二. 实验设计本实验设计了两个寄存器的实验,分别为移位寄存器和计数器寄存器。
1. 移位寄存器实验移位寄存器是一种特殊的串行寄存器,它能够实现对数据位的移位操作。
本实验设计了一个4位的移位寄存器,分别使用D触发器和JK触发器实现。
实验步骤如下:1) 首先,根据设计要求将4个D或JK触发器连接成移位寄存器电路。
2) 确定输入和输出端口,将输入数据连接到移位寄存器的输入端口。
3) 设计测试用例,输入测试数据并观察输出结果。
4) 分析实验结果,比较不同触发器类型的移位寄存器的性能差异。
2. 计数器寄存器实验计数器寄存器是一种能够实现计数功能的寄存器。
本实验设计了一个二进制计数器,使用T触发器实现。
实验步骤如下:1) 根据设计要求将多个T触发器连接成二进制计数器电路。
2) 设计测试用例,输入计数开始值,并观察输出结果。
3) 测试计数的溢出和循环功能,观察计数器的行为。
4) 分析实验结果,比较不同计数器位数的性能差异。
三. 实验结果与分析在实验过程中,我们完成了移位寄存器和计数器寄存器的设计和测试。
通过观察实验结果,可以得出以下结论:1. 移位寄存器实验中,无论是使用D触发器还是JK触发器,移位寄存器都能够正确地实现数据位的移位操作。
而使用JK触发器的移位寄存器在性能上更加优越,能够实现更复杂的数据操作。
2. 计数器寄存器实验中,二进制计数器能够准确地实现计数功能。
通过设计不同位数的计数器,我们发现位数越多,计数范围越大。
综上所述,寄存器是计算机中重要的存储器件,通过实验我们深入了解了寄存器的工作原理和应用。
移位寄存器和计数器寄存器都具有广泛的应用领域,在数字电路设计和计算机系统中起到了重要作用。
寄存器实验报告
一、实验目的1. 理解寄存器在计算机系统中的作用和重要性。
2. 掌握通用寄存器组的设计方法和应用。
3. 通过实验,加深对寄存器读写操作的理解。
二、实验原理寄存器是计算机中用于临时存储数据和指令的存储单元,它具有数据存取速度快、容量小、易于控制等特点。
在计算机系统中,寄存器用于存放指令、数据、地址等,是CPU执行指令的重要基础。
三、实验内容1. 通用寄存器组实验(1)实验目的:了解通用寄存器组的用途、结构和工作原理。
(2)实验内容:- 观察通用寄存器组(如AX、BX、CX、DX等)的内部结构;- 学习寄存器读写操作的基本指令(如MOV、ADD、SUB等);- 通过编程,实现寄存器之间的数据交换和运算。
(3)实验步骤:- 使用C语言编写程序,实现寄存器之间的数据交换和运算;- 在计算机上编译并运行程序,观察实验结果。
2. 移位寄存器实验(1)实验目的:了解移位寄存器的结构、工作原理和应用。
(2)实验内容:- 观察移位寄存器(如74LS194)的内部结构;- 学习移位操作指令(如SHL、SHR等);- 通过编程,实现数据的串行/并行转换和构成环形计数器。
(3)实验步骤:- 使用C语言编写程序,实现数据的串行/并行转换和构成环形计数器;- 在计算机上编译并运行程序,观察实验结果。
3. 寄存器仿真实验(1)实验目的:通过仿真软件,加深对寄存器读写操作的理解。
(2)实验内容:- 使用Proteus仿真软件,搭建寄存器实验电路;- 观察寄存器读写操作时,内部信号的变化;- 分析实验结果,验证寄存器读写操作的正确性。
(3)实验步骤:- 在Proteus软件中搭建寄存器实验电路;- 编写测试程序,观察寄存器读写操作时,内部信号的变化;- 分析实验结果,验证寄存器读写操作的正确性。
四、实验结果与分析1. 通用寄存器组实验通过实验,我们了解了通用寄存器组的结构和工作原理,掌握了寄存器读写操作的基本指令。
实验结果表明,寄存器读写操作可以有效地提高程序执行速度。
移位寄存器实验心得(精品5篇)
移位寄存器实验心得(精品5篇)移位寄存器实验心得篇1以下是一篇移位寄存器实验心得:移位寄存器实验心得移位寄存器是数字电路中的一个基本组件,它可以在一个有限位的寄存器中存储数据,并可以通过移位操作将数据向左或向右移动。
在本次实验中,我们通过使用移位寄存器来实现一个简单的计数器,并通过对移位寄存器的操作来实现其他功能。
在实验中,我们首先使用了一个4位二进制移位寄存器来实现计数器。
我们通过输入不同的数值,并使用移位操作来控制计数器的计数方式。
通过观察实验结果,我们发现计数器的计数方式与我们所输入的数值和移位操作有关。
接着,我们使用移位寄存器来实现了一个简单的LED显示电路。
我们将移位寄存器中的数据通过一个数码管显示出来,从而实现了LED显示的功能。
在这个实验中,我们学习了如何将数字转换成二进制码,并将其存储在移位寄存器中,然后通过数码管将数据显示出来。
最后,我们使用移位寄存器来实现了一个简单的电子琴电路。
我们将移位寄存器中的数据通过一个电子琴模拟出来,从而实现了电子琴的功能。
在这个实验中,我们学习了如何将数字转换成二进制码,并将其存储在移位寄存器中,然后通过电子琴将数据模拟出来。
通过这次实验,我们不仅学习了移位寄存器的基本原理和操作方法,还加深了对数字电路的理解和认识。
同时,我们也学会了如何将理论知识与实际操作相结合,提高了我们的动手能力和解决问题的能力。
移位寄存器实验心得篇2在进行移位寄存器实验的过程中,我不仅对移位寄存器有了更深入的理解,还掌握了一些实际操作技巧。
以下是我对这次实验的心得体会。
首先,实验开始前,我对于移位寄存器的工作原理感到困惑。
但是在实验过程中,我逐渐明晰了其工作机制。
移位寄存器是一种具有存储功能的电子元件,可以将数据从高位移至低位或低位移至高位,从而实现数据的传递和存储。
这一过程让我对电子元件的工作原理有了更深入的了解。
在实验过程中,我遇到了一些问题,例如在编程时出现了错误。
但是,通过查阅相关资料和反复试验,我逐渐找到了解决问题的方法。
四位移位寄存器的设计和仿真
四位移位寄存器的设计和仿真首先,我们来了解一下四位移位寄存器的基本原理。
四位移位寄存器由四个D触发器组成,每个触发器都可以存储一个二进制位。
它通过时钟信号来控制数据的传输和存储,通过输入和输出端口与其他电路连接,实现数据的传输和操作。
下面是四位移位寄存器的设计步骤:1.确定寄存器的输入和输出端口。
2.设计时钟信号。
时钟信号是控制数据传输和存储的关键信号。
可以使用时钟发生器或计数器来生成时钟信号。
3.连接四个D触发器。
将四个D触发器按照级联的方式连接起来。
每个D触发器的时钟端接收时钟信号,输入端接收输入数据,输出端输出存储的数据。
4.在适当的时钟信号下测试设计。
设计完成后,可以使用仿真工具进行测试。
通过输入不同的数据,观察输出是否符合期望结果。
下面是四位移位寄存器的仿真过程:1.选择合适的仿真工具。
常用的数字电路仿真工具有ModelSim、Xilinx ISE等。
选择一个熟悉并适合自己的仿真工具。
2.编写并加载仿真程序。
使用硬件描述语言(如VHDL或Verilog)编写四位移位寄存器的仿真程序。
将程序加载到仿真工具中。
3.定义仿真测试的输入和时钟信号。
为了测试四位移位寄存器的功能,在仿真程序中定义输入数据、时钟信号和期望输出结果。
4.运行仿真。
运行仿真程序,观察仿真结果是否符合期望。
如果结果不正确,可以检查设计和仿真程序,找出问题所在。
5.优化设计并重新仿真。
根据仿真结果,可以对设计进行优化。
根据需要,可以进行逻辑优化、时序优化等。
然后重新加载优化后的设计,并重新进行仿真。
总结:四位移位寄存器的设计和仿真是数字电路设计中的重要内容。
通过了解其基本原理,我们可以按照设计步骤来设计和仿真。
在仿真过程中,需要注意选择合适的仿真工具,并仔细编写和加载仿真程序。
通过不断优化设计和重新仿真,最终可以得到符合要求的四位移位寄存器。
实验三 D 触发器、移位寄存器、二进制计数器的 Verilog实现
实验三D触发器、移位寄存器、二进制计数器的Verilog实现及仿真器的使用一、实验目的:本次实验利用Verilog语言输入方式、定义引脚(两种方法)、;掌握任意进制计数器的设计方法,进一步掌握时钟的具体使用方法,进而掌握仿真器的使用方法。
二、实验要求:1、利用Verilog硬件描述语言,参考提供源程序,设计带进位的4位二进制计数器;2、利用Verilog硬件描述语言,自行设计七段码译码器;3、在原理图中调用计数器模块和译码器模块构成一个可以直接驱动数码管的单元模块。
带有清零端的D触发器源程序moduleR_SY_D_FF ( RB, D, CLK, Q, QB );input RB, D, CLK;output Q, QB ;reg Q;assign QB = ~Q;always @( posedge CLK or negedge RB )Q <= ( !RB )? 0: D;endmodule串行输入并行输出移位寄存器源程序module SIN_POUT_SHIFT ( RSTB, IN, CLK, Q );input RSTB, CLK, IN;output [3:0] Q;reg [3:0] Q;always @( posedge CLK or negedge RSTB )Q <= ( !RSTB )? 0: {Q,IN};endmodule并入串出移位寄存器module PIN_SOUT_SHIFT ( LOAD, IN, CLK, Q );input LOAD, CLK;input [3:0] IN;output [3:0] Q;Q;reg [3:0]always @( posedge CLK or posedge LOAD )if ( LOAD )Q <= IN;elseQ <= Q << 1;endmodule带进位二进制计数器源程序:module cnt4e(clk,clr,ena,cout,q); input clk,clr,ena;output [3:0] q;output cout;reg [3:0]q;always @(posedge clr or posedge clk) beginif(clr) q='b0000;else if (ena) q=q+1;endassign cout=&q;endmodule。
计算机组成原理移位寄存实验报告
计算机组成原理实验二移位寄存实验一、实验目的:1、了解移位寄存器的硬件电路,验证移位控制与寄存的组合功能。
2、利用寄存器进行数据传输。
二、实验要求:实现寄存器移位操作,了解通用寄存器的运用。
三、实验原理:移位运算实验原理图移位运算实验原理如图所示,使用了一片74LS299作为移位发生器,其八输入/输出端以排针方式和总线单元连接。
299—B信号控制其使能端,T4时序为其时钟脉冲,实验时将“W/R UNIT”中的T4接至“STATE UNIT”中的KK2单脉冲发生器,由S0、S1、M控制信号控制其功能状态,其列表如下:299—B S 1 S 0 M 功能0 0 0 任意保持0 1 0 0 循环右移0 1 0 1 带进位循环右移0 0 1 0 循环左移0 0 1 1 带进位循环左移任意 1 1 任意装数四、实验连接:1.运算器控制信号连接:S0,S1,M,LDCZY,LDR0,/SW-B,/SR-B,/R0-B2.完成连接并检查无误后接通电源。
五、实验仪器状态设定:在闪动的“P.”状态下按动“增址”命令键,使LED显示器自左向右第一位显示提示符“H”,表示本装置已进入手动单元实验状态。
五、实验项目:(一)移位寄存器置数首先置CBA=000,然后按下面流程操作:数据开关(01101011)三态门置数(01101011)三态门[CBA=001] [S0=1,S1=1] [CBA=111][ “按STEP” ](二)寄存器移位置CBA=001并输入数据,然后置CBA=111,参照实验原理中的移位寄存器控制特性表改变S0、S1、M,按动“单步”命令键,实验发现数据移位正确。
(三)移位结果寄存我们选取R0,把移位寄存器移位后的内容寄存到通用寄存器。
在移位操作后保持CBA=111,S0=0,S1=0,然后令LDR0=1,再按动“单步”命令键,完成移位结果保存。
(四)移位结果读出置CBA=100,总线指示灯显示R0内容,与上步中存的数一致。
eda实验报告
EDA技术与 VHDL 实验报告电气工程系电子信息工程实验一: 1 位全加器设计实验目的:I1131.学习 QuartusII9.1 集成开发环境的使用方法以及如何建立工程和文件;2.用原理图输入设计法和VHDL 文本输入设计法设计1 位全加器;3.通过电路仿真和硬件验证,进一步了解1 位全加器的功能I113实验内容:用原理图输入设计法和VHDL 文本输入设计法分别设计1 位全加器,并下载到 CH4 实验箱上运行。
实验原理: 1 位全加器可以由两个半加器和一个或门连接而成, 因而可根据半加器的电路 (如图 3-1 所示)或真值表写出或门和半加器的 VHDL 描述。
然后根据图 3-2 写出全加器的顶层描述。
co a b so co0 0 0 0 aand20 1 1 0bnot xnor2so1 0 1 0111图 3-1半加器 h_adder 电路图及其真值表u1dh_adder a or2aainh_adder ccoutf_addercoutain A coAco f bbinsumbin BsoeBsou3sumcincinu2图 3-2全加器 f_adder 电路图及其实体模块实验步骤:1.打开实验箱电源; 2.输入移位寄存器 VHDL 程序;3.点击图标,进行分析和综合;4. 建立波形文件,进行功能仿真; 5.按接线图配置 FPGA 引脚; 6.点击图标 ,进行编译;7.下载 ****.sof 配置文件到 EP3C16Q240C8中;1 半加器的 vhdl 描述有两种,我用的是下面这种,布尔函数描述法 :( 1)布尔函数描述方法的VHDL 源程序如下:LIBRARY IEEE; --半加器描述 (1):布尔方程描述方法USE IEEE.STD_LOGIC_1164.ALL;ENTITY h_adder ISPORT (a, b : IN STD_LOGIC;co, so : OUT STD_LOGIC);END ENTITY h_adder;ARCHITECTURE fh1 OF h_adder isBEGINso <= NOT(a XOR (NOT b)) ; co <= a AND b ;END ARCHITECTURE fh1;( 2)或门逻辑描述:LIBRARY IEEE ; --或门逻辑描述USE IEEE.STD_LOGIC_1164.ALL;ENTITY or2a ISPORT (a, b :IN STD_LOGIC;c : OUT STD_LOGIC );END ENTITY or2a;ARCHITECTURE one OF or2a ISBEGINc <= a OR b ;END ARCHITECTURE one ;( 3) 1 位二进制全加器顶层设计描述:LIBRARY IEEE; --1 位二进制全加器顶层设计描述USE IEEE.STD_LOGIC_1164.ALL;ENTITY f_adder ISPORT (ain, bin, cin : IN STD_LOGIC;cout , sum: OUT STD_LOGIC );END ENTITY f_adder;ARCHITECTURE fd1 OF f_adder ISCOMPONENT h_adder--调用半加器声明语句PORT ( a, b :IN STD_LOGIC;co, so :OUT STD_LOGIC);END COMPONENT ;COMPONENT or2aPORT (a, b : IN STD_LOGIC;c : OUT STD_LOGIC);END COMPONENT;SIGNAL d, e,f : STD_LOGIC; --定义 3 个信号作为内部的连接线。
基于VHDL的数值比较器、数据选择器、移位寄存器、60进制计数器、复杂ALU设计实验报告
基于VHDL的数值比较器、数据选择器、移位寄存器、60进制计数器、复杂ALU设计实验报告VHDL实验报告班级:电子学号:姓名:2014/5/23Experiment 1 两位二进制数的大小比较器一、实验目的:(1)熟悉QuartusII的开发环境、熟练掌握编程开发流程。
(2)学习VHDL的基本语法及编程设计。
二、实验内容:数值比较器设计三、实验要求:(1)熟练掌握QuartusII开发环境下对可编程逻辑器件进行程序化设计的整套流程;2)设计输入使用插入语言模板(Insert Template); ((3)在QuartusII开发环境下对设计程序进行时序仿真,将生成的配置文件下载到实验板,进行最终的实物测试验证。
四、实验原理:根据两位二进制数的大小得到对应的比较结果,其电路示意图及电路特性表为: 比较器特性表A B In_s In_l In_e 比较器电路示意图YsYe YlY A > B × × × 0 0 1A[3:0] Yl Number B[3:0] A < B × × × 1 0 0 Ye A = B 0 1 0 0 0 1 In_sYs Comparer In_l A = B 1 0 0 1 0 0 In_e A = B 0 0 1 0 1 0 A = B 0 0 0 × × × A = B × 1 1 × × × A = B 1 × 1 × × × A = B 1 1 × × × ×五、程序编写、调试及仿真(芯片型号:MAX?系列EPM1270T144C5) (1)程序编写:library ieee;use ieee.std_logic_1164.all;2entity Vhdl1 isport(a,b:in std_logic_vector(3 downto 0);ins,inl,ine: in std_logic;ys,ye,yl: out std_logic);end Vhdl1;architecture one of Vhdl1 issignal temps,tempe:std_logic; beginys<=temps;ye<=tempe;yl<=temps nor tempe;process(a,b,ine)beginif (a=b and ine='1')thentempe<='1';elsetempe<='0';end if;end process;process(a,b,ins)beginif(a<b) thentemps<='1';elsif(a=b and ins='1') then temps<='1';elsetemps<='0';end if;end process;end one;(2)功能仿真:3(3)芯片引脚设定:(4)适配下载结果六、结果分析本实验实现了两位二进制数的比较。
实验五时序逻辑电路实验报告
实验五时序逻辑电路(计数器和寄存器)-实验报告一、实验目的1.掌握同步计数器设计方法与测试方法。
2.掌握常用中规模集成计数器的逻辑功能和使用方法。
二、实验设备设备:THHD-2型数字电子计数实验箱、示波器、信号源器件:74LS163、74LS00、74LS20等。
三、实验原理和实验电路1.计数器计数器不仅可用来计数,也可用于分频、定时和数字运算。
在实际工程应用中,一般很少使用小规模的触发器组成计数器,而是直接选用中规模集成计数器。
2.(1) 四位二进制(十六进制)计数器74LS161(74LS163)74LSl61是同步置数、异步清零的4位二进制加法计数器,其功能表见表。
74LSl63是同步置数、同步清零的4位二进制加法计数器。
除清零为同步外,其他功能与74LSl61相同。
二者的外部引脚图也相同,如图所示。
表 74LSl61(74LS163)的功能表3.集成计数器的应用——实现任意M进制计数器一般情况任意M 进制计数器的结构分为3类,第一类是由触发器构成的简单计数器。
第二类是由集成二进制计数器构成计数器。
第三类是由移位寄存器构成的移位寄存型计数器。
第一类,可利用时序逻辑电路的设计方法步骤进行设计。
第二类,当计数器的模M 较小时用一片集成计数器即可以实现,当M 较大时,可通过多片计数器级联实现。
两种实现方法:反馈置数法和反馈清零法。
第三类,是由移位寄存器构成的移位寄存型计数器。
4.实验电路: 十进制计数器六进制扭环计数器具有方波输出的六分频电路74LS161(74LS163)12345681514131211109V CCGND716R DCP A B C D EP RCOQ AQ BQ CQ DETLD同步置数法同步清零法图 74LS161(74LS163)外部引脚图四、实验内容及步骤1.集成计数器实验(1)按电路原理图使用中规模集成计数器74LS163和与非门74LS00,连接成一个同步置数或同步清零十进制计数器,并将输出连接至数码管或发光二极管。
移位寄存器的设计及实现
《计算机组成原理》课程设计报告移位寄存器的设计与实现移位寄存器的设计与实现摘要:系统使用EDA技术设计了具有移位功能的寄存器,采用硬件描述语言VHDL进行设计,然后进行编程,时序仿真等。
软件基于VHDL语言实现了本设计的控制功能。
本设计根据移位寄存器的功能设计了三种不同的寄存器:双向移位寄存器、串入串出(SISO)移位寄存器、串入并出(SIPO)移位寄存器。
整个设计过程简单,使用方便。
功能齐全,精度高,具有一定的开发价值。
关键词:EDA;VHDL;移位寄存器目录1 引言11.1课程设计的目的11.2 课程设计的内容12 EDA、VHDL简介22.1 EDA简介22.2VHDL22.2.3 VHDL的设计流程33 移位寄存器设计过程43.1设计规划43.2 各模块工作原理及设计53.2.1移位寄存器的工作原理53.2.2双向移位寄存器的设计53.2.3串入串出(SISO)移位寄存器的设计73.2.3串入并出(SIPO)移位寄存器的设计84 系统仿真104.1双向移位寄存器仿真图分析104.2串入串出(SISO)移位寄存器仿真图分析104.3串入并出(SIPO)移位寄存器仿真图分析10 结束语12致谢13参考文献141 引言随着社会的发展,科学技术也在不断的进步。
特别是计算机产业,可以说是日新月异,移位寄存器作为计算机的一个重要部件,从先前的只能做简单的左移或右移功能的寄存器到现在广泛应用的具有寄存代码、实现数据的串行-并行转换、数据运算和数据处理功能的移位寄存器。
移位寄存器正在向着功能强,体积小,重量轻等方向不断发展,本设计主要介绍的是一个基于超高速硬件描述语言VHDL对移位寄存器进行编程实现。
近年来,集成电路和计算机应用得到了高速发展,现代电子设计技术已迈入一个崭新的阶段,具体表现在:(1)电子器件及其技术的发展将更多地趋向于为EDA服务;(2)硬件电路与软件设计过程已高度渗透;(3)电子设计技术将归结为更加标准、规X的EDA工具和硬件描述语言HDL的运用;(4)数字系统的芯片化实现手段已成主流。
数字系统电路实验报告(3篇)
第1篇一、实验目的1. 理解数字系统电路的基本原理和组成。
2. 掌握数字电路的基本实验方法和步骤。
3. 通过实验加深对数字电路知识的理解和应用。
4. 培养学生的动手能力和团队合作精神。
二、实验原理数字系统电路是由数字逻辑电路构成的,它按照一定的逻辑关系对输入信号进行处理,产生相应的输出信号。
数字系统电路主要包括逻辑门电路、触发器、计数器、寄存器等基本单元电路。
三、实验仪器与设备1. 数字电路实验箱2. 数字万用表3. 示波器4. 逻辑分析仪5. 编程器四、实验内容1. 逻辑门电路实验(1)实验目的:熟悉TTL、CMOS逻辑门电路的逻辑功能和测试方法。
(2)实验步骤:1)搭建TTL与非门电路,测试其逻辑功能;2)搭建CMOS与非门电路,测试其逻辑功能;3)测试TTL与门、或门、非门等基本逻辑门电路的逻辑功能。
2. 触发器实验(1)实验目的:掌握触发器的逻辑功能、工作原理和应用。
(2)实验步骤:1)搭建D触发器电路,测试其逻辑功能;2)搭建JK触发器电路,测试其逻辑功能;3)搭建计数器电路,实现计数功能。
3. 计数器实验(1)实验目的:掌握计数器的逻辑功能、工作原理和应用。
(2)实验步骤:1)搭建同步计数器电路,实现加法计数功能;2)搭建异步计数器电路,实现加法计数功能;3)搭建计数器电路,实现定时功能。
4. 寄存器实验(1)实验目的:掌握寄存器的逻辑功能、工作原理和应用。
(2)实验步骤:1)搭建4位并行加法器电路,实现加法运算功能;2)搭建4位并行乘法器电路,实现乘法运算功能;3)搭建移位寄存器电路,实现数据移位功能。
五、实验结果与分析1. 逻辑门电路实验通过搭建TTL与非门电路和CMOS与非门电路,测试了它们的逻辑功能,验证了实验原理的正确性。
2. 触发器实验通过搭建D触发器和JK触发器电路,测试了它们的逻辑功能,实现了计数器电路,验证了实验原理的正确性。
3. 计数器实验通过搭建同步计数器和异步计数器电路,实现了加法计数和定时功能,验证了实验原理的正确性。
数字电子技术实验4.7 移位寄存器及其应用的Multisim仿真实验
7 SL 2 SR
9 S0 10 S1
1 ~CLR 11 CLK
74LS194D
S1 J1
Key = 1
S0 J2
Key = 0
图4-66 环形计数器仿真电路图
实验4.7 移位寄存器及其应用
五、实验室操作实验内容
1.测试74LS194的逻辑功能 2.环形计数器 3.移位寄存器的扩展
图4-67 扩展后的移位寄存器
实验4.7 移位寄存器及其应用
一、实验目的
1.掌握中规模4位双向移位寄存器逻辑功能的测试方法。 2.熟悉移位寄存器的应用——构成环形计数器及其测试方法。 3.了解移位寄存器的扩展及其测试方法。
实验4.7 移位寄存器及其应用
二、实验设备及材料
1.装有Multisim 14的计算机。 2.数字电路实验箱。 3.数字万用表。 4.74LS194×2。
实验4.7 移位寄存器及其应用
三、实验原理
功能 清除 送数 右移 左移
保持
表4-40 74LS194功能表
输
入
输出
S1 S0 CP SL
SR
D0 D1 D2 D3
Q0
Q1
Q2
Q3
0 ×× × × × ×××× 0
0
0
0
1 11 ↑×× ab cd
a
b
c
d
1
01
↑
×
DSR × × × × DSR
Q0
QA QB QC QD
XLA1
1
F
CQT
图4-63 字信号发生器控制面板图 图4-64 字信号发生器数据控制方式设置
图4-65 74LS194逻辑功能测试波形图
数字电路实验报告-移位寄存器及其应用
电学实验报告模板实验原理移位寄存器是逻辑电路中的一种重要逻辑部件,它能存储数据,还可以用来实现数据的串行-并行转换、数据的运算和处理。
1.寄存器(1)D触发器图1 D触发器图1所示D触发器。
每来一个CLK脉冲,触发器都在该CLK脉冲的上升沿时刻,接收输入数据D,使之作为触发器的新状态。
D触发器的特性方程为(2)用D触发器构成并行寄存器图2 用D触发器构成并行寄存器图2所示为用D触发器构成四位并行寄存器。
为异步清零控制端,高电平有效。
当时,各触发器输出端Q的状态,取决于CLK上升沿时刻的D端状态。
2.移位寄存器(1)用D触发器构成移位寄存器图3 用D触发器构成4位串行移位寄存器图3所示为用D触发器构成的4位串行移位寄存器。
其中左边第一个触发器的输入端接收输入数据,其余的每一个触发器的输入端均与左边相邻的触发器的Q端连接。
当时钟信号CLK的上升沿时刻,各触发器同时接收输入数据。
四位寄存器的所存数据右移一位。
(2)双向移位寄存器74LS194图4 双向移位寄存器74LS194逻辑框图图4 所示为集成电路芯片双向移位寄存器74LS194逻辑框图。
为便于扩展逻辑功能,在基本移位寄存器的基础上增加了左右移控制、并行输入、保持和异步清零等功能。
74LS194的逻辑功能如表1所列。
表13.用移位寄存器构成计数器(1)环形计数器图5 环形计数器如果将移位寄存器的串行移位输出端接回到串行移位输入端,如图5所示。
那么,在时钟CLK的作用下,寄存器里的数据将不断循环右移。
例如,电路的初始状态为,则电路的状态转换图如图6所示。
可以认为,这是一个模4计数器。
图6 环形计数器状态转换图实验内容及步骤1. 用两片74LS74构成四位移位寄存器(1)74LS74引脚图图10 74LS74引脚图(2)用74LS74构成四位移位寄存器图11 用74LS74构成四位移位寄存器实验电路按照图11连接电路。
首先设置,使寄存器清零。
然后,设置,在CLK输入端输入单次脉冲信号当作时钟信号,通过输出端的发光二极管观察的状态,判断移位的效果。
实验6移位寄存器的应用设计
实验6移位寄存器的应用设计移位寄存器是一种特殊的寄存器,可以在逻辑电路中用于完成各种功能。
它具有较低的建造成本和较高的可靠性,因此在数字系统中被广泛应用。
本文将介绍移位寄存器的基本原理和应用设计。
移位寄存器是一种能够向左或向右移位的寄存器。
根据移位方向的不同,可以分为左移寄存器和右移寄存器。
移位寄存器有一个数据输入端和一个数据输出端,还有一个时钟输入端。
在每个时钟脉冲到来时,输入端的数据会向寄存器的下一个位置移动,并从输出端输出。
移位寄存器有多种应用,在数字系统中的应用非常广泛。
以下是一些常见的应用设计。
1.数据存储器:移位寄存器可以用作数据存储器,在数字系统中存储各种类型的数据。
通过将数据输入到移位寄存器的数据输入端,并在需要时读取输出端的数据,可以实现数据的存储和读取操作。
2.并行-串行数据转换器:移位寄存器可以将并行输入数据转换为串行输出数据。
通过将并行数据输入到移位寄存器的不同位置,并按顺序读取输出端的数据,可以将并行数据转换为串行数据。
3.串行-并行数据转换器:移位寄存器还可以将串行输入数据转换为并行输出数据。
通过连续输入串行数据,并在每个时钟脉冲到来时从输出端读取数据,可以将串行数据转换为并行数据。
4.移位寄存器作为计数器:移位寄存器可以用作计数器,在数字系统中实现各种计数操作。
通过将初始值输入到移位寄存器的数据输入端,并在每个时钟脉冲到来时将寄存器的内容向左或向右移位,可以实现计数操作。
5.并行数据压缩器:移位寄存器可以用于压缩大量的并行数据。
通过将并行数据输入到移位寄存器,并在每个时钟脉冲到来时从输出端读取一部分数据,可以将大量的并行数据压缩为较少的串行数据。
移位寄存器的应用不仅限于上述几种设计,还可以根据具体需求进行更复杂的应用设计。
移位寄存器的灵活性和可编程性为数字系统的设计提供了很大的便利。
总之,移位寄存器是一种重要且应用广泛的数字电路元件。
它可以用于实现数据存储、数据转换、计数和压缩等功能。
实验八 移位寄存器及其应用
实验八 移位寄存器及其应用一、实验目的1.熟悉移位寄存器的结构及工作原理 2.了解移位寄存器的应用。
二、实验原理移位寄存器是具有移位功能的寄存器。
它是一种由触发器链型连续组成的同步时序网络。
代码的移位是在统一的位移脉冲CP 控制下进行的。
每来一个移位位脉冲,原存贮于寄存器的信息代码就按规定的方向(左方或右方)同步移一位。
移位寄存器的类型,按移位的方式可分为左移﹑右移和双向移位寄存器;按其输入输出方式可分为并行输入—并行输出﹑并行输入—串行输出﹑串行输入—并行输出和串行输入—串行输出等几种。
移位寄存器应用较广。
利用移位寄存器可以构成计数分频电路﹑序列信号发生器、串/并行代码转换器、延时电路等。
移位寄存器的状态转移是按移存规律进行的,一般称为移存型计数器。
常用的移存型计数器有环行计数器和扭环形计数器。
下面介绍几种常用的MSI 移位寄存器及其应用。
74LS195为4位并行存取移位寄存器;74LS194为4位双向通用移位寄存器,它具有左移﹑右移﹑并行输入数据﹑保持及清除等五种功能。
它们的功能表及管脚图见附录. 应用举例:(一)移存型计数器 (1) 环形计数器环形计数器的特点是环形计数器的计数模数M=移位寄存器位数N ,且工作状态是依次循环出1或0,如4为环形计数器状态为0001-0010-0100-1000或1110-1101-1011-0111。
设计该类计数器往往要求电路能自启动。
(2) 扭环计数器扭环计数器又称为约翰逊计数器。
其特点是四位扭环计数器具有N=2n=8个有效计数状态,且相邻两状态间只有一位代码不同,因此扭环计数器的输出所驱动的组合网络不会产生功能竞争。
(3) 任意进制移存型计数器只要状态转移关系符合移存规律的计数器,就称为移存型计数器。
移存型计数器只要M ≠2N 时,就要考虑计数器的自启动问题。
移存型计数器子启动的方法有两种:①、 改变移位寄存器串行输入D 0的反馈方程,例如:让循环出“1”的4位环形计数器的D 0=012Q Q Q ++,使全“0”状态时的的D 0=1;如果是循环出“0”的4位环形计数器,则0120Q Q Q D =,使全“1”状态时的D 0=0,从而实现自启动。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
实验四典型时序电路的功能测试与综合仿真报告
15291204 张智博
一.74LS290构成的24位计数器
方法:第一片74290的Q3与第二片的INB相连,R01,R02相连,INA,R91,R92悬
空构成24位计数器。
50Hz,5v方波电压源提供时钟信号,用白炽灯显示输出信号。
实验电路:
001011,001100,010001,010000,010010,010011,010100,011000,011001,011010,011011,011100,100000,100001,100010,100011,100100,最终又回到000000,实现一次进位。
二.74LS161构成的24位计数器
方法:运用多次置零法
用两片74LS161构成了24位计数器,两片计数器的时钟信号都由方波电压源提供,第一片芯片的Q3和第二片芯片的Q0通过与非门,构成两个74LS161的LOAD信号,第一片的CO接第二片的ENT,其他ENT和ENP接Vcc(5v)。
输出接白炽灯。
电路图:
实验现象:以下为1—24的计数过程
三.74LS194构成的8位双向移位寄存器
方法:通过两片194级联,控制MA,MB的值,来控制左右移动
实验电路由两片74LS194芯片构成。
两个Ma接在一起,两个Mb接在一起,第一片的Dr,第二片的Dl,分别通过开关接到Vcc(5v)上。
第一片的Q3接到第二片的Dr,第二片的Q0接到第一片的Dl。
8个输出端分别接白炽灯。
实验电路:
实验现象:
右移:
接通Ma,Dr后,D0到D7全部为0,白炽灯从00000000变为10000000,11000000,
左移:
接通Mb,Dl后,D0到D7全为0,白炽灯由00000000变为00000001,00000011,。