EDA设计性实验及开放实验题目
eda课程设计30个题目

1数字频率计1)设计一个能测量方波信号的频率的频率计。
2)测量的频率范围是0 999999Hz。
3)结果用十进制数显示。
2乒乓球游戏机1)用8个发光二极管表示球;用两个按钮分别表示甲乙两个球员的球拍2)一方发球后,球以固定速度向另一方运动(发光二极管依次电量),当球达到最后一个发光二极管时,对方击球(按下按钮)球将向相反方向运动,在其他时候击球视为犯规,给对方加1分;都犯规,各加1分;3)甲乙各有数码管计分4)裁判有一按钮,可系统初始化,每次得分后,按下一次3彩灯控制器1)设计一个彩灯控制器,使十个彩灯(LED管)能连续发出四种以上不同的显示形式(如奇数依次亮),;2)随着彩灯显示图案的变化,发出不同的音响声(可用不同频率的矩形波产生)。
4速度表1)显示汽车时速Km/h2)车轮每转一圈,有一个传感脉冲;每个脉冲代表1m的距离3)采样周期设为10S4)*要求显示到小数点后2位5)数码管显示6)*超速报警5拔河游戏机1)设计一个能进行拔河游戏的电路。
2)电路使用15个(或9个)发光二极管,开机后只有中间一个发亮,此即拔河的中心点。
3)游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。
4)亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。
5)*用数码管显示获胜者的盘数6 6 数字跑表1)具有暂停/启动功能2)具有重新开始功能3)用6个数码管分别显示百分秒、秒和分钟4)能计两个人跑步时间,并能选择显示77 电梯控制器1)5层电梯控制器,用5个led显示电梯行进过程,并用数码管显示电梯当前所在楼层位置,每层电梯入口处设有上楼和下楼请求按钮,按下按钮,则相应楼层的led亮2)电梯到达请求楼层,相应的请求led灯灭,电梯门打开,开门5S,电梯自动关门,继续上行(下行)3)每层设有电梯上行和下行指示灯4)能记忆电梯内部的请求信号88 篮球计分器1)具有30秒计时、显示2)可对计时器清零、置数、启动和暂停3)30秒倒计时4)两个数码管显示两队比分5)超时报警并可解除报警99电子琴1)设计一个简易电子琴;2)利用一基准脉冲产生1,2,3,。
EDA考试题目及答案

EDA考试题目及答案一、单项选择题(每题2分,共10题)1. EDA技术中,用于描述数字电路的硬件描述语言是:A. VHDLB. VerilogC. C语言D. Python答案:A2. 在VHDL中,用于定义信号的关键字是:A. variableB. constantC. signalD. type答案:C3. 下列哪个不是Verilog中的测试平台(testbench)组件?A. initial块B. always块C. moduleD. function答案:D4. 在EDA设计中,用于模拟电路行为的软件工具是:A. 仿真器B. 编译器C. 综合器D. 布局器答案:A5. 以下哪个选项不是EDA工具的主要功能?A. 电路设计B. 电路仿真C. 电路测试D. 电路维修答案:D6. 在VHDL中,用于实现组合逻辑的构造块是:A. processB. if语句C. case语句D. all of the above答案:D7. Verilog中,用于描述时序逻辑的关键字是:A. alwaysB. initialC. moduleD. assign答案:A8. 在EDA设计流程中,电路综合通常发生在哪个阶段之后?A. 电路设计B. 电路仿真C. 电路测试D. 电路验证答案:B9. 下列哪个不是VHDL中的并发语句?A. ifB. caseC. loopD. procedure答案:D10. 在Verilog中,用于描述模块间连接的关键字是:A. inputB. outputC. wireD. module答案:C二、多项选择题(每题3分,共5题)1. EDA技术可以应用于以下哪些领域?A. 集成电路设计B. 软件工程C. 电子系统设计D. 机械工程答案:A, C2. VHDL中的哪些构造可以用来描述时序逻辑?A. processB. ifC. whileD. after答案:A, D3. 在Verilog中,哪些关键字用于定义模块的端口?A. inputB. outputC. inoutD. module答案:A, B, C4. EDA工具在设计流程中可以提供哪些辅助功能?A. 设计验证B. 设计优化C. 设计转换D. 设计维护答案:A, B, C5. 在EDA设计中,哪些因素会影响电路的性能?A. 电路复杂度B. 电源电压C. 温度变化D. 材料特性答案:A, B, C, D三、简答题(每题5分,共2题)1. 描述一下在EDA设计中,为什么需要进行电路仿真?答案:在EDA设计中,电路仿真是为了在实际制造电路之前,通过软件模拟电路的行为和性能。
EDA课程设计参考题目

EDA课程设计参考题目一、设计彩灯控制器一要求:1.有八只LED,L0……L72.显示顺序如下表3要求:1.8 个灯全亮;2.8 个灯全灭;3.从左边第一个开始每隔一个亮;4.从右边第一个开始每隔一个灭;5.左4个灭,右4个亮;6.左4个亮,右4个灭;7.显示间隔0.5S,1S可调。
三、设计彩灯控制器三要求:1. 有十只LED,L0……L92. 显示方式①先奇数灯依次灭②再偶数灯依次灭③再由L0到L9依次灭3.显示间隔0.5S,1S可调。
四、自设计动奏乐器一要求:1.开机能自动奏一个乐曲,可以反复演奏;2.速度可变。
1 1 5 5 6 6 5 –4 4 3 3 2 2 1 –5 5 4 4 3 3 2 –5 5 4 4 3 3 2 –3.附加:显示乐谱。
五、设计自动奏乐器二要求:1.开机能自动奏一个乐曲,可以反复演奏;2.速度可变。
1 3 1 3 5 6 5 – 6 6 ∙1 6 5 –––6 6 ∙1 6 5 5 3 12 23 2 1 –––3.乐曲自选。
4.附加:显示乐谱。
六、设计汽车尾灯控制器要求:1.用6个发光二极管模拟6个汽车尾灯(左、右各3个)。
2.汽车往前行驶时,6个灯全灭。
当汽车转弯时,若右转弯,右边3个尾灯从左至右顺序亮灭,左边3个灯全灭;若左转弯,左边3个尾灯从右至左顺序亮灭,右边3个灯全灭;汽车刹车时,6个尾灯同时明、暗闪烁;汽车在夜间行驶时,左右两侧的灯同时亮,供照明使用。
要求:1.在十字路口的两个方向上各设一组红绿黄灯,显示顺序为:其中一个方向是绿灯、黄灯、红灯,另一个方向是红灯、绿灯、黄灯。
2.设置一组数码管,以倒计时的方式显示允许通行或禁止通行的时间,其中绿灯、黄灯、红灯的持续时间分别是20s、5s、25s。
八、设计数字频率计要求:1.输入为矩形脉冲,频率范围0~99MHz;2.用五位数码管显示;只显示最后的结果,不要将计数过程显示出来;3.单位为Hz和KHz两档,自动切换。
EDA实验试题13.5

按要求完成相应的功能。
用原理图输入法设计一位全加器,进行功能仿真,做出仿真波形。
按要求完成相应的功能。
用原理图输入法设计一位半加器,进行功能仿真,做出仿真波形。
按要求完成相应的功能。
用原理图输入法设计一位全加器,进行下载测试,按要求完成相应的功能。
用原理图输入法设计一位半加器,进行下载测试,按要求完成相应的功能。
用文本输入法设计一个分频电路,并进行功能测试。
以50M为信号源,得到一周期为1秒的方波脉冲。
按要求完成相应的功能。
用文本输入法设计一个分频电路,并进行功能测试。
以50M为信号源,得到一周期为2秒的方波脉冲。
按要求完成相应的功能。
用文本输入法设计一个50倍分频电路,进行功能仿真。
按要求完成相应的功能。
用文本输入法设计一个60倍分频电路,进行功能仿真。
用文本输入法设计一个分频电路,并进行功能测试。
以50M 为信号源,得到一周期为4秒的方波脉冲。
用文本输入法设计一个分频电路,并进行功能测试。
以50M 为信号源,得到一周期为0.5秒的方波脉冲。
按要求完成相应的功能。
用文本输入法设计一个12归1电路,进行功能测试。
采用50MHz 时钟源,静态数码管显示。
按要求完成相应的功能。
用文本输入法设计一个60归0电路,进行功能测试。
采用50MHz 时钟源,静态数码管显示。
按要求完成相应的功能。
用文本输入法设计一个10归1电路,进行功能测试。
采用50MHz 时钟源,静态数码管显示。
按要求完成相应的功能。
用文本输入法设计一个23归0电路,进行功能测试。
采用50MHz 时钟源,静态数码管显示。
按要求完成相应的功能。
用文本输入法设计一个十进制计数电路,进行功能测试。
采用50MHz 时钟源,静态数码管显示。
按要求完成相应的功能。
用文本输入法设计一个七进制计数电路,进行功能测试。
采用50MHz 时钟源,静态数码管显示。
用文本输入法设计一个串行扫描电路,由8个动态数码管依次轮流显示计数过程。
按要求完成相应的功能。
EDA实验报告

湖北民族学院信息工程学院实验报告(电气、电子类专业用)班级: 09 姓名:周鹏学号:030940908 实验成绩:实验地点: EDA实验室课程名称:数字系统分析与设计实验类型:设计型实验题目:实验一简单的QUARTUSII实例设计,基于VHDL格雷码编码器的设计实验仪器:HH-SOC-EP3C40EDA/SOPC实验开发平台,PC机。
一、实验目的1、通过一个简单的3—8译码器的设计,掌握组合逻辑电路的设计方法。
2、初步了解QUARTUSII原理图输入设计的全过程。
3、掌握组合逻辑电路的静态测试方法。
4、了解格雷码变换的原理。
5、进一步熟悉QUARTUSII软件的使用方法和VHDL输入的全过程。
6、进一步掌握实验系统的使用。
二、实验原理、原理图及电路图3-8译码器三输入,八输出。
当输入信号按二进制方式的表示值为N时,输出端标号为N的输出端输出高电平表示有信号产生,而其它则为低电平表示无信号产生。
因为三个输入端能产生的组合状态有八种,所以输出端在每种组合中仅有一位为高电平的情况下,能表示所有的输入组合。
其真值表如表1-1所示输入输出A B C D7 D6 D5 D4 D3 D2 D1 D00 0 0 0 0 0 0 0 0 0 10 0 1 0 0 0 0 0 0 1 00 1 0 0 0 0 0 0 1 0 00 1 1 0 0 0 0 1 0 0 01 0 0 0 0 0 1 0 0 0 01 0 1 0 0 1 0 0 0 0 01 1 0 1 0 0 0 0 0 01 1 1 1 0 0 0 0 0 0 0表1-1 三-八译码器真值表译码器不需要像编码器那样用一个输出端指示输出是否有效。
但可以在输入中加入一个输出使能端,用来指示是否将当前的输入进行有效的译码,当使能端指示输入信号无效或不用对当前信号进行译码时,输出端全为高电平,表示无任何信号。
本例设计中没有考虑使能输入端,自己设计时可以考虑加入使能输入端时,程序如何设计。
EDA课程设计题目

EDA课程设计题目
题目一:正弦信号发生器设计,要求:
1、与D/A转换电路配合,产生一路频率范围为1KHz~20KHz的正弦信号,输出
频率、幅度可调;
2、频率、幅度的设定通过按键增减来实现,频率调整步长为1KHz,幅度调整步
长为500mV。
题目二:乐曲硬件演奏电路设计,要求:
1、FPGA配合按键、蜂鸣器演奏一段简单音乐;
2、当前演奏音调对应的阿拉伯数字用一位数码管显示;
3、用LED灯亮的个数指示当前演奏音调。
题目三:计时电路设计,要求:
1、具有小时、分钟、秒计时功能,并在数码管上实时显示,显示模式为12小时制和24小时制可选
2、可以对时钟进行设置
3、具有设置闹钟使能、设置功能,并能进行闹钟提醒。
题目四:串口通信电路设计
要求:
(1)设计一个全双工串口收发控制程序,速率为9600b/s,其中数据帧格式为:1位起始位+8位数据+1位奇偶校验位+1位停止位。
(2)通过连接两个实验箱,验证通信功能(如通过一个实验箱按键,另一个实验箱显示)。
题目五:数字频率计设计,要求:
1、所测频率的误差恒为百万分之一;
2、测频范围为0.1Hz~10MHz。
题目六:灯柱控制电路设计。
要求:
1、通过调节旋钮,在数码管上显示当前电压值
2、随着旋钮旋动时,LED 灯柱改变点亮的长度,蜂鸣器改变发出的音调。
EDA设计题目和内容

EDA设计题目和内容1智力竞赛抢答器的设计设计一个4人参加的智力竞赛抢答计时器。
电路具有回答问题时间控制功能。
要求回答问题时间小于等于100秒(显示为0~99),时间显示采用倒计时方式,当达到限定时间时,发出声响以示警告;设置一个主持人“复位”按钮,主持人复位后,开始抢答,进入倒计时,当有某一参赛者首先按下抢答开关时,相应指示灯亮并伴有2~3 秒声响,此时抢答器不再接受其他输入信号,使除第一抢答者外的按钮不起作用,倒计时也相应停止,主持人复位后,重新开始抢答。
其他要求:(1)数码管显示抢答得胜的选手号;(2)设置一个计分电路,每组开始预置100 分,由主持人记分,答对一次加10 分,答错一次减10 分。
2 数字电子钟的设计具有时,分,秒计数显示功能,以24小时循环计时;设置启动、停止开关,具有启动和停止计时操作的功能;显示格式为××-××-××,用8位数码管显示。
其他要求:(1)具有清零,调节小时、分钟、秒功能;(2)具有整点报时功能,整点报时的同时LED灯花样显示。
(3)具有闹钟功能,可任意设置时间点。
3 拔河游戏机的设计设计要求如下:(1)设计一个能进行拔河游戏的电路。
(2)电路使用7个发光二极管,开机后只有中间一个发亮,此即拔河的中心点。
(3)游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按十次,亮点移动一次。
(4)亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。
(5)用数码管显示双方按键的次数。
4 数字频率计的设计设计一个8位十进制数字频率计,待测信号由50MHz时钟分频得到或外部输入,测频范围为1Hz~50MHz,频率通过8位数码管显示。
其他要求:(1)测频范围扩大到1Hz~100MHz。
(2)用1602液晶显示待测信号频率。
5 乒乓球比赛游戏机(1)设计一个由甲、乙双方参赛,有裁判的3人乒乓球游戏机。
EDA课程设计题目

1.数控分频器的设计2.8位16进制频率计设计3.数据采集电路和简易存储示波器设计利用FPGA直接控制0809对模拟信号进行采样,然后将转换好的8位二进制数据迅速存储到存储器中,在完成对模拟信号一个或数个周期的采样后,由外部电路系统(如单片机)将存储器中的采样数据读出处理。
4.8位移位相加硬件乘法器设计5.16阶数字滤波器的设计6.基于DSP Builder的FIR数字滤波器设计7.基于DSP Builder的IIR数字滤波器设计实验8. 基于FPGA的十字路口交通灯控制器9. 通用十进制加法器(动态扫描显示)设计一个双4 位的BCD码全加器,其框图如图1所示。
图中的“进位出”C即是本位的进位输出。
全加器的16个输入所对应的管脚同16位拨码开关相连,16个输入管脚是(被加数)A1(3..0)、(被加数)B1(3..0)和(被加数)A2(3..0)、(被加数)B2(3..0)。
相加的十进制结果经译码电路译为7段显示输出,和与进位的值。
要求显示器动态显示两位十进制相加的结果。
10、通用十进制减法器(动态扫描显示)设计一个双4 位的BCD码全减器,其框图如图2所示。
图中的“借位出”C即是本位的借位输出。
全减器的16个输入所对应的管脚同16位拨码开关相连,16个输入管脚是(被减数)A1(3..0)、(被减数)B1(3..0)和(减数)A2(3..0)、(减数)B2(3..0)。
相减的十进制差经译码电路译为7段显示输出,差与借位的值。
要求显示器动态显示两位十进制相减的结果。
11、六人抢答器抢答台数为6;具有抢答开始后20秒倒计时,20秒倒计时后六人抢答显示超时,并报警;能显示超前抢答台号并显示犯规报警;系统复位后进入抢答状态,当有一路抢答按键按下,该路抢答信号将其余各路抢答信号封锁,同时铃声响起,直至该路按键松开,显示牌显示该路抢答台号。
12、出租车计费器实现计费功能,计费标准为武汉起步3元,车行3公里后为1.4元/公里,当计费达到20元后,每公里加收50%的车费,车停止每3分钟增加0.7元。
EDA课程设计——乒乓球比赛游戏机

《电子设计自动化(EDA)技术》课程设计报告题目: 乒乓球比赛游戏机姓名:院系:专业:学号:指导教师:完成时间: 年月日目录1 课程设计题目、内容与要求………………………………1.1 设计内容………………………………………………1.2 具体要求………………………………………………2 系统设计……………………………………………………2.1 设计思路………………………………………………2.2 系统原理(包含:框图等阐述)与设计说明等内容3 系统实现……………………………………………………注:此部分阐述具体实现,包含主要逻辑单元、模块、源代码等内容4 系统仿真……………………………………………………5 硬件验证(操作)说明……………………………………6 总结………………………………………………………7 参考书目…………………………………………………1课程设计题目、内容与要求1.1课程设计的题目乒乓球比赛游戏机1.2课程设计目的随着科学技术日益迅速的发展,数字系统已经深入到生活的各个方面。
它具有技术效果好,经济效益高,技术先进,造价较低,可靠性高,维修方便等许多优点。
使我们更加熟练掌握数字系统的设计。
对所学的专业知识有能力更好的应用在实践方面。
1.3课程设计要求(1)使用乒乓球游戏机的双方在不同位置发球或击球。
(2)乒乓球的位置和移动方向由灯亮和依次亮的方向决定。
使用者根据球的位置发出相应的动作(3)比赛用21分为一局来进行,双方设置各自的记分牌,任意一方先记满21分就获胜此局。
当记分牌清零后,开始新的一局比赛。
1.4课程设计内容设计一个由甲乙双方参赛,有裁判的三人乒乓球游戏机。
用8个(或更多个)LED排成一条直线,以中点为界,两边各代表参赛双方的位置,其中一只点亮的LED指示球的当前位置,点亮的LED依次从左到右,或从右到左,其移动的速度应能调节。
当“球”(点亮的那只LED)运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按纽开关,即表示启动球拍击球,若击中,则球向相反方向运动;若未中,球掉出桌外,则对方得一分。
EDA实验试题16.6(附答案)

1.用原理图输入法设计一位全加器,进行功能仿真,做出仿真波形。
参考实验一2.用原理图输入法设计一位半加器,进行功能仿真,做出仿真波形。
参考课本61页图3-53.用原理图输入法设计一位全加器,进行下载测试,参考实验一4.用原理图输入法设计一位半加器,进行下载测试,参考课本61页图3-55.用文本输入法设计一个分频电路,并进行功能测试。
以25M为信号源,得到一周期为1秒的方波脉冲。
library ieee; --调用库use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fp is -----实体说明(实体名需与文件名一致)port(inclk:in std_logic; ------端口说明outputa:out std_logic);end fp;architecture arch_fp of fp is ------构造体说明signal fp:std_logic_vector(24 downto 0); ------信号定义signal f:std_logic;beginprocess(inclk) ------进程语句描述if (inclk'event and inclk='1') then ------将时钟分频至1Hzif fp=24999999 thenfp<="0000000000000000000000000";f<=not f;else fp<=fp+1;end if;end if;end process;outputa<=f;end arch_fp; -------构造体结束6.用文本输入法设计一个分频电路,并进行功能测试。
以25M为信号源,得到一周期为2秒的方波脉冲。
library ieee; --调用库use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fp is -----实体说明(实体名需与文件名一致)port(inclk:in std_logic; ------端口说明outputa:out std_logic);end fp;architecture arch_fp of fp is ------构造体说明signal fp:std_logic_vector(24 downto 0); ------信号定义signal f:std_logic;beginprocess(inclk) ------进程语句描述beginif (inclk'event and inclk='1') then ------将时钟分频至1Hzif fp=124999999 thenfp<="0000000000000000000000000";f<=not f;else fp<=fp+1;end if;end if;end process;outputa<=f;end arch_fp; -------构造体结束7.用文本输入法设计一个50倍分频电路,进行功能仿真。
EDA课程设计题目_图文.(共5篇)

EDA课程设计题目_图文.(共5篇)第一篇:EDA课程设计题目_图文.课程设计题目设计一数字式竞赛抢答器1、设计一个可容纳6 组(或4 组参赛的数字式抢答器,每组设一个按钮,供抢答使用。
2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。
3、设置一个主持人“复位”按钮。
4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出2~3 秒的音响。
5、设置一个计分电路,每组开始预置100 分,由主持人记分,答对一次加10 分,答错一次减10 分。
教学提示:1、此设计问题的关键是准确判断出第一抢答者并将其锁存,实现的方法可使用触发器或锁存器,在得到第一信号后将输入封锁,使其它组的抢答信号无效。
2、形成第一抢答信号后,用编码、译码及数码显示电路显示第一抢答者的组别,用第一抢答信号推动扬声器发出音响。
3、计分电路采用十进制加/减计数器、数码管显示,由于每次都是加/减10 分,所以个位始终为零,只要十位、百位进行加/减运算即可。
设计二数字钟1、设计一个能显示1/10秒、秒、分、时的12小时数字钟。
2、熟练掌握各种计数器的使用。
3、能用计数器构成十进制、六十进制、十二进制等所需进制的计数器。
4、能用低位的进位输出构成高位的计数脉冲。
教学提示:1、时钟源使用频率为0.1Hz的连续脉冲。
2、设置两个按钮,一个供“开始”及“停止”用,一个供系统“复位”用。
3、时钟显示使用数码管显示。
4、“时显示”部分应注意12点后显示1点。
5、注意各部分的关系,由低位到高位逐级设计、调试。
设计三数字频率计1、设计一个能测量方波信号的频率的频率计。
2、测量的频率范围是0?999999Hz。
3、结果用十进制数显示。
教学提示:1、脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式为,f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。
所以,在1秒时间内计数器所记录的结果,就是被测信号的频率。
《EDA技术》课程设计题目

《EDA技术》课程设计题目1 数字钟设计 (1)2 数字式竞赛抢答器设计 (1)3 数字频率计设计 (1)4 拔河游戏机设计 (2)5 洗衣机控制器设计 (3)6 电子密码锁设计 (3)7 脉冲按键电话按键显示器设计 (4)8 乘法器设计 (4)9 简易音乐播放器设计 (5)10 交通灯控制器设计 (5)11 出租车自动计费器设计 (6)12 自动售邮票机设计 (6)13 信号发生器系统设计 (7)14 点阵设计 (7)15 汽车尾灯控制器设计 (7)16 乒乓球比赛游戏机设计 (8)17 电梯控制器设计 (8)18 简单微处理器设计 (9)19 电子脉搏计设计 (9)20 数字电压表设计 (9)21 彩灯控制器设计 (9)22 病房呼叫系统设计 (10)23 二进制频移键控(FSK)调制器与解调器设计 (10)24 二进制相位键控(PSK)调制器与解调器设计 (10)12电信1班《EDA技术》课程设计选题名单1 数字钟设计设计要求在Quartus Ⅱ开发系统中用可编程逻辑器件实现数字钟的EDA设计,要求:(1)数字钟功能:数字钟的时间以24小时一个周期;数字钟能够显示时、分、秒;(2)校时功能:可以分别对时、分、秒进行单独校时,使其调整到标准时间;(3)扩展功能:具有整点报时功能,当时间到达整点前5秒进行蜂鸣报时。
2 数字式竞赛抢答器设计设计要求设计一个可容纳四组参赛的数字式抢答器,每组设一个按钮供抢答使用。
抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用;设置一个主持人“复位”按钮,主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,用指示灯显示抢答组别,扬声器发出音响。
设置犯规电路,对提前抢答和超时答题(例如3分钟)的组别鸣笛示警,并由组别显示电路显示出犯规组别。
设置一个计分电路,每组开始预置10分,由主持人计分,答对一次加1分,答错一次减1分。
系统框图如图2-1所示。
eda课程设计参考题目

EDA课程设计参考题目一、LED点阵汉字显示要求:1、及格:在实验箱上16*16点阵模块上显示汉字“学”;2、中:设置不同的清屏方式(上下左右);3、良:滚动显示汉字“学”;4、优:滚动显示“石家庄经济学院”。
二、键盘扫描显示要求:1、及格:识别4*4键盘,并将结果显示在数码管上;2、中:设计防抖电路;3、良:按一定方向移动显示,即新的按键值显示在最右端的数码管上,原有显示依次左移;4、优:可以进行简单的加减运算。
三、电子密码锁要求:1、及格:设计一个四位密码锁的控制电路,当输入正确代码时,输出开锁信号,用红灯亮、绿灯灭表示关锁,用绿灯亮、红灯可以进行灭表示开锁;2、中:输入密码的同时显示输入的值;3、良:可设定密码;4、优:从第一个按钮触动后的10秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。
四、数字频率计要求:1、及格:设计一个能测量方波信号的频率的频率计,测量范围1~999999Hz,结果用四位十进制数显示;2、中:具有超量程显示和量程切换功能;3、良:具有高位无效零自动消隐功能;4、优:具有测量周期的功能。
五、交通信号灯控制器要求:1、及格:设计一个交通信号灯控制器,在十字路口每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。
每次放行30秒;2、中:绿灯亮25秒后闪烁3秒,黄灯亮2秒,然后转红灯,红灯亮30秒后转绿灯,依次循环;3、良:30秒倒计时显示;4、优:可设定通行时间。
六、数字式竞赛抢答器要求:1、及格:设计一个6组参赛的数字式抢答器,每组设一个按钮,供抢答使用;抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用;设计一个主持人“复位”按钮;主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,同时扬声器发声;2、中:具有30秒倒计时功能功能,30秒结束无人抢答则报警;3、良:具有犯规设置电路对提前抢答和超时抢答者,则报警并显示组别;4、优:设置一个计分电路,每组开始设置100分,由主持人计分,答对一次加10分,答错一次减10分。
EDA设计课程实验报告数码管动态显示实验报告

EDA设计课程实验报告实验题目:数码管动态显示实验学院名称:专业:电子信息工程班级:姓名:高胜学号小组成员:指导教师:一、实验目的学习动态扫描显示的原理;利用数码管动态扫描显示的原理编写程序,实现自己的学号的显示。
二、设计任务及要求1、在SmartSOPC实验箱上完成数码管动态显示自己学号的后八个数字。
2、放慢扫描速度演示动态显示的原理过程。
三、系统设计1、整体设计方案数码管的八个段a,b,c,d,e,f,g,h(h是小数点)都分别连接到SEG0~SEG7,8个数码管分别由八个选通信号DIG0~DIG7来选择,被选通的数码管显示数据,其余关闭。
如果希望8个数码管显示希望的数据,就必须使得8个选通信号DIG0~DIG7分别被单独选通,并在此同时,在段信号输入口SEG0~SEG7加上该对应数码管上显示的数据,于是随着选通信号的扫描就能实现动态扫描显示的目的。
虽然每次只有1个数码管显示,但只要扫描显示速率足够快,利用人眼的视觉余辉效应,我们仍会感觉所有的数码管都在同时显示。
2、功能模块电路设(1)输入输出模块框图(见图1)图1(2)模块逻辑表达(见表1)表1(数码管显示真值表)clk_1k dig seg↑01111111 C0↑10111111 F9注:数码管显示为01180121(3)算法流程图(见图2)(4)Verilog源代码module scan_led(clk_1k,d,dig,seg); //模块名scan_ledinput clk_1k; //输入时钟input[31:0] d; //输入要显示的数据output[7:0] dig; //数码管选择输出引脚output[7:0] seg; //数码管段输出引脚reg[7:0] seg_r; //定义数码管输出寄存器reg[7:0] dig_r; //定义数码管选择输出寄存器reg[3:0] disp_dat; //定义显示数据寄存器reg[2:0]count; //定义计数寄存器assign dig = dig_r; //输出数码管选择assign seg = seg_r; //输出数码管译码结果always @(posedge clk_1k) //定义上升沿触发进程begincount <= count + 1'b1;endalways @(posedge clk_1k)begincase(count) //选择扫描显示数据3'd0:disp_dat = d[31:28]; //第一个数码管3'd1:disp_dat = d[27:24]; //第二个数码管3'd2:disp_dat = d[23:20]; //第三个数码管3'd3:disp_dat = d[19:16]; //第四个数码管3'd4:disp_dat = d[15:12]; //第五个数码管3'd5:disp_dat = d[11:8]; //第六个数码管3'd6:disp_dat = d[7:4]; //第七个数码管3'd7:disp_dat = d[3:0]; //第八个数码管endcasecase(count) //选择数码管显示位3'd0:dig_r = 8'b01111111; //选择第一个数码管显示3'd1:dig_r = 8'b10111111; //选择第二个数码管显示3'd2:dig_r = 8'b11011111; //选择第三个数码管显示3'd3:dig_r = 8'b11101111; //选择第四个数码管显示3'd4:dig_r = 8'b11110111; //选择第五个数码管显示3'd5:dig_r = 8'b11111011; //选择第六个数码管显示3'd6:dig_r = 8'b11111101; //选择第七个数码管显示3'd7:dig_r = 8'b11111110; //选择第八个数码管显示endcaseendalways @(disp_dat)begincase(disp_dat) //七段译码4'h0:seg_r = 8'hc0; //显示04'h1:seg_r = 8'hf9; //显示14'h2:seg_r = 8'ha4; //显示24'h3:seg_r = 8'hb0; //显示34'h4:seg_r = 8'h99; //显示44'h5:seg_r = 8'h92; //显示54'h6:seg_r = 8'h82; //显示64'h7:seg_r = 8'hf8; //显示74'h8:seg_r = 8'h80; //显示84'h9:seg_r = 8'h90; //显示94'ha:seg_r = 8'h88; //显示a4'hb:seg_r = 8'h83; //显示b4'hc:seg_r = 8'hc6; //显示c4'hd:seg_r = 8'ha1; //显示d4'he:seg_r = 8'h86; //显示e4'hf:seg_r = 8'h8e; //显示fendcaseendendmodule四、系统调试(1)仿真代码`timescale 1ns/1nsmodule scan_ledfz;reg clk_1k;reg[31:0] d;wire[7:0] dig;wire[7:0] seg;parameter dely=100;scan_led u1(clk_1k,d,dig,seg);always #(dely/2)clk_1k=~clk_1k;initial beginclk_1k=0;d=32'h01180134;#dely ;#dely ;#dely ;#dely ;#dely ;#(dely*20);#dely $finish;endinitial $monitor($time,,,"%b,%d,%h,%h",clk_1k,d,dig,seg); endmodulemodule scan_led(clk_1k,d,dig,seg); //模块名scan_ledinput clk_1k; //输入时钟input[31:0] d; //输入要显示的数据output[7:0] dig; //数码管选择输出引脚output[7:0] seg; //数码管段输出引脚reg[7:0] seg_r; //定义数码管输出寄存器reg[7:0] dig_r; //定义数码管选择输出寄存器reg[3:0] disp_dat; //定义显示数据寄存器reg[2:0] count=3'b000; //定义计数寄存器assign dig = dig_r; //输出数码管选择assign seg = seg_r; //输出数码管译码结果always @(posedge clk_1k) //定义上升沿触发进程begincount <= count + 1'b1;endalways @(posedge clk_1k)begincase(count) //选择扫描显示数据3'd0:disp_dat = d[31:28]; //第一个数码管3'd1:disp_dat = d[27:24]; //第二个数码管3'd2:disp_dat = d[23:20]; //第三个数码管3'd3:disp_dat = d[19:16]; //第四个数码管3'd4:disp_dat = d[15:12]; //第五个数码管3'd5:disp_dat = d[11:8]; //第六个数码管3'd6:disp_dat = d[7:4]; //第七个数码管3'd7:disp_dat = d[3:0]; //第八个数码管endcasecase(count) //选择数码管显示位3'd0:dig_r = 8'b01111111; //选择第一个数码管显示3'd1:dig_r = 8'b10111111; //选择第二个数码管显示3'd2:dig_r = 8'b11011111; //选择第三个数码管显示3'd3:dig_r = 8'b11101111; //选择第四个数码管显示3'd4:dig_r = 8'b11110111; //选择第五个数码管显示3'd5:dig_r = 8'b11111011; //选择第六个数码管显示3'd6:dig_r = 8'b11111101; //选择第七个数码管显示3'd7:dig_r = 8'b11111110; //选择第八个数码管显示endcaseendalways @(disp_dat)begincase(disp_dat) //七段译码4'h0:seg_r = 8'hc0; //显示04'h1:seg_r = 8'hf9; //显示14'h2:seg_r = 8'ha4; //显示24'h3:seg_r = 8'hb0; //显示34'h4:seg_r = 8'h99; //显示44'h5:seg_r = 8'h92; //显示54'h6:seg_r = 8'h82; //显示64'h7:seg_r = 8'hf8; //显示74'h8:seg_r = 8'h80; //显示84'h9:seg_r = 8'h90; //显示94'ha:seg_r = 8'h88; //显示a4'hb:seg_r = 8'h83; //显示b4'hc:seg_r = 8'hc6; //显示c4'hd:seg_r = 8'ha1; //显示d4'he:seg_r = 8'h86; //显示e4'hf:seg_r = 8'h8e; //显示fendcaseendendmodule位码代码仿真代码`timescale 1ns/1nsmodule smg_tp; //测试模块的名字reg [2:0] c; //测试输入信号定义为reg型wire[7:0] dig; //测试输出信号定义为wire型parameter DEL Y=100; //延时100秒wei u1(c,dig); //调用测试对象initial begin //激励波形设定c=3'b0;#DEL Y c=3'b001 ;#DEL Y c=3'b010 ;#DEL Y c=3'b100 ;#DEL Y c=3'b101 ;#DEL Y c=3'b110 ;#DEL Y c=3'b111 ;#DEL Y $finish;endinitial $monitor($time,,,"dig=%d,c=%b ",dig,c); //输出格式i定义endmodulemodule wei(c,dig); //命名模块名字input[2:0] c;output[7:0] dig; //定义输入与输出reg[7:0] dig_r;reg[2:0] c_r; // 定义dig_r与c_r2个reg型数据assign dig=dig_r; //将reg型数据转化为wire型数据always @(*) //检测c_r的数据是否变化begin c_r=c;case (c_r)3'b000:dig_r=8'b11111110; //c_r的数据变化而dig_r对于的数据变化3'b001:dig_r=8'b11111101;3'b010:dig_r=8'b11111011;3'b011:dig_r=8'b11110111;3'b100:dig_r=8'b11101111;3'b101:dig_r=8'b11011111;3'b110:dig_r=8'b10111111;3'b111:dig_r=8'b01111111;default: dig_r=8'b11111111;endcase //结束case语句end //结束always语句endmodule //结束程序译码器代码仿真代码`timescale 1ns/1nsmodule duan_tp; //测试模块的名字reg[3:0] a; //测试输入信号定义为reg型wire[7:0] seg; //测试输出信号定义为wire型parameter DEL Y=100; //延时100秒duan u1(a,seg); //调用测试对象initial begin //激励波形设定a=4'b0;#DELY a=4'b0001;#DELY a=4'b0010;#DELY a=4'b0011;#DELY a=4'b0100;#DELY a=4'b0101;#DELY a=4'b0110;#DELY a=4'b0111;#DELY a=4'b1000;#DELY a=4'b1001;#DELY a=4'b1010;#DELY a=4'b1011;#DELY a=4'b1100;#DELY a=4'b1101;#DELY a=4'b1110;#DELY a=4'b1111;#DELY $finish;endinitial $monitor($time,,,"seg=%d,a=%b",seg,a); //输出格式i定义endmodulemodule duan(a,seg); //命名模块名字input[3:0] a;output[7:0] seg; //定义输入与输出reg[7:0] seg_r;reg[3:0] a_r; // 定义seg_r与a_r2个reg型数据assign seg=seg_r; //将reg型数据转化为wire型数据always @(*) //检测c_r的数据是否变化begin a_r=a;case(a_r) //七段译码4'b0000:seg_r = 8'hc0; //显示04'b0001:seg_r = 8'hf9; //显示14'b0010:seg_r = 8'ha4; //显示24'b0011:seg_r = 8'hb0; //显示34'b0100:seg_r = 8'h99; //显示44'b0101:seg_r = 8'h92; //显示54'b0110:seg_r = 8'h82; //显示64'b0111:seg_r = 8'hf8; //显示74'b1000:seg_r = 8'h80; ///显示84'b1001:seg_r = 8'h90; //显示94'b1010:seg_r = 8'h88; //显示a4'b1011:seg_r = 8'h83; //显示b4'b1100:seg_r = 8'hc6; //显示c4'b1101:seg_r = 8'ha1; //显示d4'b1110:seg_r = 8'h86; //显示e4'b1111:seg_r = 8'h8e; ///显示f endcase //结束case语句end //结束always语句endmodule //结束程序(2)仿真波形图(3)引脚图五、实验感想通过这次实验,让我学习动态扫描显示的原理;利用数码管动态扫描显示的原理编写程序,实现自己的学号的显示。
EDA实验考试题目

EDA技术实验考试题目1、设计一个带计数使能、异步复位、带进位输出的增1六位二进制计数器,结果由共阴极七段数码管显示。
2、设计一个带计数使能、同步复位、带进位输出的增1二十进制计数器,结果由共阴极七段数码管显示。
3、设计一个带计数使能、异步复位、同步装载的可逆七位二进制计数器,结果由共阴极七段数码管显示。
4、设计一个带计数使能、同步复位、异步装载、可逆计数的通用计数器。
5、设计一个具有8分频、4分频和2分频功能的分频器6、设计一个正负脉宽相等的通用分频器7、设计一个正负脉宽可控的16分频的分频器8、根据需要设计一个分频器:可以控制实现四种分频形式:第一种:5分频、第二种:8分频、第三种:15分频、第四种:16分频9、设计一个键盘显示电路,将键值由8位7段LED数码管输出显示。
10、设计一个彩灯控制器,彩灯共有16个,每次顺序点亮相邻的四个彩灯,如此循环执行。
11、设计一个左移、右移控制的8位串行移位寄存器12、设计一个9人表决电路,参加表决者为9人,同意为1,不同意为0,同意者过半则表决通过,绿指示灯亮,表决不通过则红指示灯亮。
译码显示赞成人数。
13、设计一个同步复位,异步并行装载的8位串行左移移位寄存器14、有16个开关,编号为0到15,编号0的优先级最高。
当某一个拨码开关为1时由数码管显示其编号(可用16进制数显示,亦可用十进制显示)15、设计一个全自动洗衣机水位控制器。
要求:当水位超过某一上限值时,停止加水,启动洗衣机;当水位低于某一下限值时,加水,停止洗衣机;否则启动洗衣机,停止加水。
16、根据真值表设计一位全加器,然后用结构的描述方法设计一个8位加法器。
17、设计6位进制数到两位BCD(8421码)的转换器。
结果由共阴极数码管显示。
18、设计一个跑马灯控制器。
一共有8个彩灯,编号为LED0 ~ LED7,点亮方式为:先从左往右顺序点亮,然后从右往左,如此循环往复。
19、有四路数据输入,每路数据为4位二进制数,根据不同的控制信号,输出相应的输入数据。
eda实验考试题目及答案

1.设计一个带计数使能、同步复位、带进位输出的增1六位二进制计数器,计数结果由共阴极七段数码管显示。
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity counter isport(clk,clk1,en,clr:in std_logic;ledout:out std_logic_vector(6 downto 0);scanout:out std_logic_vector(1 downto 0);co:out std_logic);end counter;architecture a of counter issignal cnt:std_logic_vector(7 downto 0);signal led:std_logic_vector(6 downto 0);signal scan:std_logic:='0';signal hex:std_logic_vector(3 downto 0);beginprocess(clk)beginif(clk'event and clk='1')thenif en='1'thenif clr='1'thencnt<=(others=>'0');elseif cnt="00111111"thencnt<="00000000";co<='1';elsecnt<=cnt+'1';co<='0';end if;end if;end if;end if;end process;process(clk1)beginif clk1'event and clk1='1'thenscan<=not scan;end if;end process;ledout<= not led;scanout<="10" when scan='0' else "01";hex<=cnt(7 downto 4) when scan='1'else cnt(3 downto 0);with hex selectled<="1111001"when"0001","0100100"when"0010","0110000"when"0011","0011001"when"0100","0010010"when"0101","0000010"when"0110","1111000"when"0111","0000000"when"1000","0010000"when"1001","0001000"when"1010","0000011"when"1011","1000110"when"1100","0100001"when"1101","0000110"when"1110","0001110"when"1111","1000000"when others;end a;2、设计一个带计数使能、异步复位、带进位输出的增1二十进制计数器,计数结果由共阴极七段数码管显示。
eda考试题库及答案

eda考试题库及答案1. EDA技术中的"EDA"代表什么?A. 电子设计自动化B. 电子数据交换C. 电子文档分析D. 电子设备应用答案:A2. 在EDA设计中,以下哪个不是设计流程的基本步骤?A. 原理图输入B. 电路仿真C. 版图设计D. 电路测试答案:D3. 以下哪个软件不是用于EDA设计的?A. CadenceB. Altium DesignerC. MATLABD. Adobe Photoshop答案:D4. 在EDA设计中,PCB代表什么?A. 印刷电路板B. 个人计算机板C. 电源控制板D. 程序控制板答案:A5. 在EDA设计中,HDL指的是什么?A. 高级设计语言B. 硬件描述语言C. 混合数字语言D. 混合数据语言答案:B6. 在EDA设计中,FPGA和ASIC的主要区别是什么?A. FPGA是可编程的,ASIC是固定的B. FPGA是固定的,ASIC是可编程的C. FPGA和ASIC都是可编程的D. FPGA和ASIC都是固定的答案:A7. 在EDA设计中,以下哪个不是版图设计中常用的术语?A. 布局B. 布线C. 封装D. 编译答案:D8. EDA技术在以下哪个领域应用最广泛?A. 软件开发B. 电路设计C. 数据分析D. 网络通信答案:B9. 在EDA设计中,以下哪个不是电路仿真的目的?A. 验证电路设计的正确性B. 预测电路的性能C. 优化电路设计D. 生产电路板答案:D10. EDA技术的发展对以下哪个行业影响最大?A. 汽车制造B. 电子制造C. 食品加工D. 纺织业答案:B结束语:以上是EDA考试题库及答案,希望对您的学习和考试有所帮助。
EDA技术(课程设计题目)

设计题6—洗衣机控制电路
转60s→待机5s→反 转60s→,并用3个LED灯和7段显示器分别表示其工作状 态和显示相应工作状态下的时间。
2、可自行设定洗衣机的循环次数,这里设置最大的 循环次数为15次。
3、具有紧急情况的处理功能。当发生紧急情况时, 立即转入待机状态,紧急情况解除后,继续执行后续步 骤;
显示方式如下: 1、采用记忆显示方式,即计数过程中不显示数据,待 计数过程结束以后,显示计数结果,并将此显示结果保 持到下一次计数结束,显示时间不小于1s; 2、小数点位置随量程变更自动移位;
待测信号为符合TTL要求的方波信号。
设计题2—游戏电路的设计(模拟掷骰子)
设计要求
本游戏电路是模拟掷骰子,可供两人游戏。游戏者 每按动一次按键可得到1~6范围内的两个数,并按下列 规则决定胜负。 1、第一场比赛,游戏者每人各按一次按键,所得两 数之和为7和11者胜;若无人取胜,则进行第二场比赛; 2、第二场比赛,游戏者每人各按一次按键,所得两数 之和与第一场比赛相同者获胜,所得两数之和为7或11 者负,若无人获胜或负,则重复进行,直至出现胜者 或负者为止;
设计题4—自动售邮票机
设计要求
机器有一个投币口,每次只能投入一枚硬币,但可以连续投入 数枚硬币。机器能自动识别硬币金额,最大为1元,最小为1角, 购票者可选择的邮票面值有1元和5角两种,每次只能售出一枚邮 票。
购票时先选择邮票面值后投币,当投入的硬币总金额达到或超 过邮票面值时,机器应发出指示并拒收继续投入的硬币。
设计题5—万年历的设计
设计要求
设计万年历显示模块,应具备如下功能:
1、能显示年、月、日,时、分、秒两种显示方式; 2、有一个按键能选择不同的显示方式; 3、时间显示可以有按键选择24、12进制显示; 4、能由调时、分的按键,能进行时间的设定。 附加功能: 1、能有星期显示;2、能进行润年的计算; 3、能有秒表、倒计时等功能(自主设定)
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
设计性实验及开放实验题目设计一数字式竞赛抢答器要求:1、设计一个可容纳6组(或4组)参赛的数字式抢答器,每组设一个按钮,供抢答使用。
2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。
3、设置一个主持人“复位”按钮。
4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出2~3秒的音响。
5、设置一个计分电路,每组开始预置100分,由主持人记分,答对一次加10分,答错一次减10分。
教学提示:1、此设计问题的关键是准确判断出第一抢答者并将其锁存,实现的方法可使用触发器或锁存器,在得到第一信号后将输入封锁,使其它组的抢答信号无效。
2、形成第一抢答信号后,用编码、译码及数码显示电路显示第一抢答者的组别,用第一抢答信号推动扬声器发出音响。
3、计分电路采用十进制加/减计数器、数码管显示,由于每次都是加/减10分,所以个位始终为零,只要十位、百位进行加/减运算即可。
设计三数字频率计要求:1、设计一个能测量方波信号的频率的频率计。
2、测量的频率范围是0 999999Hz。
3、结果用十进制数显示。
设计提示:1、脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式为,f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。
所以,在1秒时间内计数器所记录的结果,就是被测信号的频率。
2、被测频率信号取自实验箱晶体振荡器输出信号,加到主控门的输入端。
3、再取晶体振荡器的另一标准频率信号,经分频后产生各种时基脉冲:1ms,10ms,0.1s,1s等,时基信号的选择可以控制,即量程可以改变。
4、时基信号经控制电路产生闸门信号至主控门,只有在闸门信号采样期间内(时基信号的一个周期),输入信号才通过主控门。
5、f=N/T,改变时基信号的周期T,即可得到不同的测频范围。
6、当主控门关闭时,计数器停止计数,显示器显示记录结果,此时控制电路输出一个置零信号,将计数器和所有触发器复位,为新的一次采样做好准备。
7、改变量程时,小数点能自动移位。
设计四拔河游戏机要求:1、设计一个能进行拔河游戏的电路。
2、电路使用15个(或9个)发光二极管,开机后只有中间一个发亮,此即拔河的中心点。
3、游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。
4、亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。
5、用数码管显示获胜者的盘数。
设计提示:1、按钮信号即输入的脉冲信号,每按一次按钮都应能进行有效的计数。
2、用可逆计数器的加、减计数输入端分别接受两路脉冲信号,可逆计数器原始输出状态为0000,经译码器输出,使中间一只二极管发亮。
3、当计数器进行加法计数时,亮点向右移;进行减法计数时,亮点向左移。
4、由一个控制电路指示谁胜谁负,当亮点移到任一方终端时,由控制电路产生一个信号,使计数器停止计数。
5、将双方终端二极管“点亮”信号分别接两个计数器的“使能”端,当一方取胜时,相应的计数器进行一次计数,这样得到双方取胜次数的显示。
6、设置一个“复位”按钮,使亮点回到中心,取胜计数器也要设置一个“复位”按钮,使之能清零。
设计五乒乓球比赛游戏机要求:1、设计一个由甲、乙双方参赛,有裁判的3人乒乓球游戏机。
2、用8个(或更多个)LED排成一条直线,以中点为界,两边各代表参赛双方的位置,其中一只点亮的LED指示球的当前位置,点亮的LED依此从左到右,或从右到左,其移动的速度应能调节。
3、当“球”(点亮的那只LED)运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关,即表示启动球拍击球。
若击中,则球向相反方向移动;若未击中,则对方得1分。
4、一方得分时,电路自动响铃3秒,这期间发球无效,等铃声停止后方能继续比赛。
5、设置自动记分电路,甲、乙双方各用2位数码管进行记分显示,每计满21分为1局。
6、甲、乙双方各设一个发光二极管,表示拥有发球权,每隔5次自动交换发球权,拥有发球权的一方发球才有效。
设计提示:1、用双向移位寄存器的输出端控制LED显示来模拟乒乓球运动的轨迹,先点亮位于某一方的第1个LED,由击球者通过按钮输入开关信号,实现移位方向的控制。
2、也可用计数译码方式实现乒乓球运动轨迹的模拟,如利用加/减计数器的2个时钟信号实现甲、乙双方的击球,由表示球拍的按钮产生计数时钟,计数器的输出状态经译码驱动LED发亮。
3、任何时刻都保持一个LED发亮,若发亮的LED运动到对方的终点,但对方未能及时输入信号使其向相反方向移动,即失去1分。
4、控制电路决定整个系统的协调动作,必须严格掌握各信号之间的关系。
设计六交通信号灯控制器要求:1、设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。
2、红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号。
3、主干道处于常允许通行的状态,支干道有车来时才允许通行。
主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。
4、主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路。
5、在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。
设计提示:1、主、支干道用传感器检测车辆到来情况,实验电路用逻辑开关代替。
2、选择1HZ时钟脉冲作为系统时钟。
3、45秒、25秒、5秒定时信号可用顺计时,也可用倒计时,计时起始信号由主控电路给出,每当计满所需时间,即向主控电路输出“时间到”信号,并使计数器清零,由主控电路启、闭三色信号灯或启动另一计时电路。
4、主控电路是核心,这是一个时序电路,其输入信号为:车辆检测信号(A,B,;45秒、25秒、5秒定时信号(C,D,E),其输出状态控制相应的三色灯。
主控电路可以由两个JK触发器和逻辑门构成,其输出经译码后,控制主干道三色灯R、G、Y和支干道三色灯r、g、y。
设计七电子密码锁要求:1、设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;2、在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁;3、从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。
设计提示:1、该题的主要任务是产生一个开锁信号,而开锁信号的形成条件是,输入代码和已设密码相同。
实现这种功能的电路构思有多种,例如,用两片8位锁存器,一片存入密码,另一片输入开锁的代码,通过比较的方式,若两者相等,则形成开锁信号。
2、在产生开锁信号后,要求输出声、光信号,声音的产生由开锁信号触动扬声器工作,光信号由开锁信号点亮LED指示灯;3、用按钮开关的第一个动作信号触发一个5秒定时器,若5秒内无开锁信号产生,让扬声器发出特殊音响,以示警告,并输出一个信号推动LED不断闪烁。
设计八彩灯控制器要求:1、设计一个彩灯控制器,使彩灯(LED管)能连续发出四种以上不同的显示形式;2、随着彩灯显示形式的变化,发出不同的音响声。
设计提示:1、彩灯显示的不同形式可由不同进制计数器驱动LED显示完成;2、音响由选择不同频率CP脉冲驱动扬声器形成;3、彩灯显示形式由实验箱中拨码开关控制。
设计九脉冲按键电话显示器要求:1、设计一个具有8位显示的电话按键显示器;2、能准确地反映按键数字;3、显示器显示从低位向高位前移,逐位显示按键数字,最低位为当前输入位;4、*设置一个“重拨”键,按下此键,能显示最后一次输入的电话号码;5、*挂机2秒后或按熄灭按键,熄灭显示器显示。
设计提示:1、利用中规模计数器的予置数功能可以实现不同的按键对应不同的数字;2、设置一个计数器记录按键次数,从而实现数字显示的移位。
设计十简易电子琴要求:1、设计一个简易电子琴;2、利用实验箱的脉冲源产生1,2,3,。
共7个或14个音阶信号;3、用指示灯显示节拍;4、*能产生颤音效果。
设计提示:1、各音阶信号由脉冲源经分频得到。
设计十一出租车自动计费器要求:1、设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99.99元;2、行车里程单价1元/公里,等候时间单价0.5元/10分钟,起价3元(3公里起价)均能通过人工输入。
3、行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每100个脉冲表示1公里,然后用BCD码比例乘法器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置。
例如单价是1.0元/公里,则脉冲当量为0.01元/脉冲。
4、用LED显示行驶公里数,两个数码管显示收费金额。
设计提示:1、等候时间计费需将等候时间转换成脉冲个数,用每个脉冲表示的金额与脉冲数相乘即得计费数,例如100个脉冲表示10分钟,而10分钟收费0.5元,则脉冲当量为0.05元/脉冲,如果将脉冲当量设置成与行车里程计费相同(0.01元/脉冲),则10分钟内的脉冲数应为500个。
2、用LED显示等候时间,两个数码管表示等候时间收费金额。
3、用加法器将几项收费相加,P=P1+P2+P3,4、P1为起价,P2为行车里程计费,P3为等候时间计费,用两个数码管表示结果。
设计十二洗衣机控制器要求:1、设计一个电子定时器,控制洗衣机作如下运转:定时启动→正转20秒→暂停10秒→反转20秒→暂停10秒→定时未到回到“正转20秒→暂停10秒→……”,定时到则停止;2、若定时到,则停机发出音响信号;3、用两个数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直到时间到停机;洗涤过程由“开始”信号开始;4、三只LED灯表示“正转”、“反转”、“暂停”三个状态。
设计提示:1、设计20秒、10秒定时电路。
2、电路输出为“正转”、“反转”、“暂停”三个状态。
3、按照设计要求,用定时器的“时间到”信号启动相应的下一个定时器工作,直到整个过程结束。
设计十三病房呼叫系统:要求:1.用1~5个开关模拟5个病房的呼叫输入信号,1号优选级最高;1—5优先级依次降低;2.用一个数码管显示呼叫信号的号码。
没信号呼叫是显示0;有多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示);3.凡有呼叫发出5秒的呼叫声;4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理(附加)。