电子科技大学17年6月考试《EDA技术》期末大作业参考答案

合集下载

eda技术及应用考试及答案

eda技术及应用考试及答案

eda技术及应用考试及答案一、单选题(每题2分,共20分)1. EDA技术的核心是()。

A. 电子设计自动化B. 电子制造自动化C. 电子测试自动化D. 电子组装自动化答案:A2. 在EDA技术中,HDL指的是()。

A. 高级设计语言B. 硬件描述语言C. 硬件描述逻辑D. 高级描述语言答案:B3. 以下哪个不是EDA软件的主要功能()。

A. 逻辑综合B. 电路仿真C. 布线D. 机械加工答案:D4. 在EDA技术中,FPGA指的是()。

A. 现场可编程门阵列B. 固定可编程门阵列C. 现场可配置逻辑阵列D. 固定可配置逻辑阵列答案:A5. 以下哪个不是EDA技术中常用的仿真工具()。

A. ModelSimB. QuartusC. MATLABD. ISE答案:C6. 在EDA技术中,ASIC指的是()。

A. 应用特定集成电路B. 应用通用集成电路C. 应用特定逻辑阵列D. 应用通用逻辑阵列答案:A7. 在EDA技术中,以下哪个不是硬件描述语言()。

A. VHDLB. VerilogC. C语言D. SystemVerilog答案:C8. 在EDA技术中,以下哪个不是逻辑综合的主要任务()。

A. 优化逻辑B. 布局C. 布线D. 时序分析答案:C9. 在EDA技术中,以下哪个不是FPGA的主要优点()。

A. 可重构性B. 可编程性C. 低成本D. 高性能答案:C10. 在EDA技术中,以下哪个不是ASIC的主要优点()。

A. 高性能B. 低成本C. 低功耗D. 高可靠性答案:B二、多选题(每题3分,共15分)11. EDA技术的主要应用领域包括()。

A. 通信B. 计算机C. 消费电子D. 军事答案:ABCD12. 在EDA技术中,以下哪些是硬件描述语言的特点()。

A. 层次性B. 并行性C. 抽象性D. 可读性答案:ABC13. 在EDA技术中,以下哪些是FPGA的主要缺点()。

A. 成本高B. 速度慢C. 功耗大D. 灵活性差答案:ABC14. 在EDA技术中,以下哪些是ASIC的主要缺点()。

EDA技术期末试卷含答案资料

EDA技术期末试卷含答案资料

精品文档一、单项选择题(30分)9.嵌套使用IF语句,其综合结果可实现 A 。

A.带优先级且条件相与的逻辑电路1.以下描述错误的是 C B.条件相或的逻辑电路C.三态控制电路是A.QuartusIIAltera提供的FPGA/CPLD集成开发环境D.双向控制电路10.在VHDLAlteraB.是世界上最大的可编程逻辑器件供应商之一语言中,下列对时钟边沿检测描述中,错误的是 D 。

A.if clk'event and clk = ‘1' then B.if falling_edge(clk) then 前一代C.MAX+plusII是AlteraFPGA/CPLD集成开发环境QuartusII的更C.if clk'event and clk = ‘0' then 新换代新产品D.if clk'stable and not clk = ‘1' then11.下列那个流程是正确的基于.DQuartusII完全支持VHDL、Verilog的设计流程EDA软件的FPGA / CPLD设计流程 BA.原理图/HDL文本输入→适配→综合→功能仿真→编程下载→硬件测试开发工具中的专用综合器的是2.以下工具中属于FPGA/CPLD BB.原理图/HDL文本输入→功能仿真→综合→适配→编程下载→硬件测试C .Active HDL D.QuartusII Leonardo Spectrum .AModelSim B.C.原理图/HDL文本输入→功能仿真→综合→编程下载→→适配硬件测试;.3以下器件中属于Xilinx 公司生产的是 C/HDL文本输入→功能仿真→适配→编程下载→综合→硬件测试D.BMAX系列器件.原理图A.ispLSI系列器件)语句的语句结构及语法规则语言中,下列对进程(PROCESS系列器件C.XC9500系列器件D.FLEX 12.在VHDL 。

A 的描述中,正确的是以下关于信号和变量的描述中错误的是4. B为一无限循环语句;敏感信号发生更新时启动进程,执行完..信号是描述硬件系统的基本数据对象,它的性质类似于连接线PROCESSAA .信号的定义范围是结构体、进程B 成后,等待下一次进程启动C.除了没有方向说明以外,信号与实体的端口概念是一致的B.敏感信号参数表中,应列出进程中使用的所有输入信号CD.在进程中不能将变量列入敏感信号列表中.进程由说明部分、结构体部分、和敏感信号参数表三部分组成D.当前进程中声明的变量也可用于其他进程以下关于状态机的描述中正确的是5. BB MooreA.型状态机其输出是当前状态和所有输入的函数13.下列语句中,不属于并行语句的是语句B.CASE 型的输出变化要领先一个时钟周期型状态机相比,.与BMooreMealy A.进程语句…语句…ELSE D.WHEN .元件例化语句MealyC.型状态机其输出是当前状态的函数 C设计现行工作VHDL语言共支持四种常用库,其中哪种库是用户的14.以上都不对D .VHDL B 下列标识符中,.库是不合法的标识符。

eda技术实用教程期末考试题及答案

eda技术实用教程期末考试题及答案

eda技术实用教程期末考试题及答案一、选择题(每题2分,共20分)1. EDA技术中,FPGA代表的是()。

A. 现场可编程逻辑阵列B. 现场可编程门阵列C. 现场可编程逻辑器件D. 现场可编程门器件答案:B2. 在EDA技术中,VHDL是一种()。

A. 硬件描述语言B. 软件描述语言C. 系统描述语言D. 网络描述语言答案:A3. 下列哪个不是EDA工具的主要功能()。

A. 逻辑综合B. 电路仿真C. 代码编译D. 布局布线答案:C4. 在VHDL中,下列哪个关键字用于定义并行语句()。

A. ifB. beginC. loopD. process答案:B5. 在EDA技术中,用于测试和验证数字电路的EDA工具是()。

A. 逻辑综合工具B. 电路仿真工具C. 布局布线工具D. 测试生成工具答案:B6. 下列哪个不是FPGA的配置方式()。

A. 在系统可编程B. 串行配置C. 并行配置D. 网络配置答案:D7. 在VHDL中,用于定义信号的关键字是()。

B. constantC. signalD. type答案:C8. 在EDA技术中,用于描述数字电路行为的模型是()。

A. 结构模型B. 数据流模型C. 行为模型D. 混合模型答案:C9. 在VHDL中,下列哪个关键字用于定义过程()。

A. procedureB. functionD. entity答案:C10. 在EDA技术中,用于优化电路性能的EDA工具是()。

A. 逻辑综合工具B. 电路仿真工具C. 布局布线工具D. 测试生成工具答案:C二、填空题(每题2分,共20分)1. EDA技术中的“EDA”代表的是______、______和______。

答案:电子设计自动化2. VHDL中的并发语句包括______、______、______和______。

答案:信号赋值、条件信号赋值、选择信号赋值、元件实例化3. 在FPGA设计中,______是用于存储配置数据的非易失性存储器。

电子科技大学智慧树知到“电子信息工程”《EDA技术》网课测试题答案2

电子科技大学智慧树知到“电子信息工程”《EDA技术》网课测试题答案2

电子科技大学智慧树知到“电子信息工程”《EDA技术》网课测试题答案(图片大小可自由调整)第1卷一.综合考核(共15题)1.以下不属于EDA技术的特点是()。

A.用软件方式设计硬件B.用硬件方式设计软件C.设计过程中可仿真D.系统可现场编程2.下面关于信号和变量的比较,错误的是()。

A.信号赋值可以有延迟时间B.变量赋值无时间延迟C.变量可以看作硬件的一根连线D.进程对信号敏感3.在VHDL中,用语句()表示检测clock的下降沿。

A.clock’EVENTB.clock’EVENT AND clock=‘2’C.clok=‘1’D.clock’EVENT AND clock=‘1’4.库(LIBRARY)包括哪几大类?()A.IEEE 库、STD 库、面向ASIC的库、用户定义库B.IEEE 库、STD 库、WORK库、用户定义库C.IEEE 库、STD 库、WORK库、面向ASIC的库、用户定义库D.STD 库、WORK库、面向ASIC的库、用户定义库5.在VHDL的CASE语句中,条件语句中的“=>”不是操作符号,其作用相当于()。

A.IFB.THENC.ANDD.OR 6.在VHDL标识符命名规则中,以()开头的标识符是正确的。

A.字母B.数字C.字母或数字D.下划线7.执行MAX+PLUSII的()命令,可以为设计电路建立一个元件符号。

A.create default symbolB.simulatorpilerD.timing analyzer8.在VHDL中,IF语句中至少应有1个条件句,条件句必须由()表达式构成。

A.BITB.STD_LOGICC.BOOLEAND.INTEGER9.EDA的中文含义是()。

A.电子设计自动化B.计算机辅助计算C.计算机辅助教学D.计算机辅助制造10.执行MAX+PLUSII的()命令,可以对设计的电路进行仿真。

A.create default symbolpilerC.simulatorD.programmer11.在VHDL中,条件信号赋值语句WHEN_ELSE属于()语句。

《EDA技术》期末测试参考答案

《EDA技术》期末测试参考答案

《EDA技术》期末测试参考答案《EDA技术》期中测试参考答案⼀、选择题(每⼩题2分,共计20分。

)1、VHDL语⾔共⽀持四种常⽤库,其中哪种库是⽤户的VHDL设计当前⼯作库()。

A.IEEE库B.VITAL库C.STD库D.WORK⼯作库2、VHDL的process进程语句是并⾏语句,它的内部是由( )语句构成的A. 并⾏语句和顺序语句B.顺序语句C.并⾏语句D.任意语句3、元件例化语句的作⽤是()。

A.描述元件模块的算法B.改善并⾏语句及其结构的可读性C.产⽣⼀个与某元件完全相同的⼀组并⾏元件D.在⾼层次设计中引⽤前⾯已经设计好的元件或电路模块4、在VHDL的并⾏语句之间,可以⽤( )来传递信息。

严格讲是D,但选C也可,因为⼀般情况下并⾏语句之间是⽤信号来传递信息的。

A.常量(Constant)B.变量(Variable)C.信号(Signal)D.变量和信号5、以下关于VHDL中常量的声明正确的是()。

A.Constant delay :Integer = 8B.Constant delay:Integer := 8C.Variable delay:Integer = 8D.Variable delay:Integer := 86、在VHDL语⾔中,下列对时钟边沿检测描述中,错误的是( )。

A. if clk’event and clk = ‘1’ thenB. if rising_edge(clk) thenC. if clk’event and clk = ‘0’ thenD.if clk’stable and not clk = ‘1’ then7、下列关于VHDL标识符的说法正确的是()(多选)A.标识符由26个英⽂字母和数字0~9以及下划线组成,其中字母不区分⼤⼩写。

B.标识符必须由英⽂字母开始,不连续使⽤下划线,且不能以下划线结束,C.标识符中可以包含空格D.标识符不允许与VHDL中的关键字重合8、下列对FPGA结构与⼯作原理的描述中,正确的是( )。

eda技术考试试题b及详细答案 (1)

eda技术考试试题b及详细答案 (1)

《EDA技术》第二学期期末试题B卷号:B 时间:120 分钟 2008 年6 月专业:电子信息工程学号:姓名:一、填空题(20分,每题2分)1、当前ASIC制造商都自己开发了HDL语言,但是都不通用,只有美国国防部开发的()语言成为了IEEE. STD_1076标准,并在全世界得到了承认。

2、载入protel的Schematic中的()和()可满足一般用户需求,两个零件库中含有二极管、三极管、电阻、电容、电感等常用元件。

3、零件封装是指()。

4、EDA技术也称(),是在( )技术的基础上发展起来的计算机软件系统。

5、目前世界上有十几家生产CP LD/FP GA的公司,最大的三家是:(),( ),LATTIC 。

6、顺序描述语句中,()在MAX-PLUS中不被支持。

7、 VHDL语句中能被赋予一定值的对象称为客体,主要有常数,信号和变量。

其中常数对应代表数字电路中的电源和接地等。

信号对应物理设计中的()。

8、 FPGA可分为两大类,分别是SRAM-BASE和Anti-Fuse 设计时一般选用()。

9、 100mil=()mm,7.62mm=( )mil。

10、PCB封装元件实际上就是()。

二、名词解释题(20分,每题4分)1 PLD/FPGA2.过孔3.铜膜线4 PROM、PAL和PLA5 自顶向下的/自下而上的设计方法三、选择题(15分,每题3分)1.下列常用热键具有在元件浮动状态时,编辑元件属性功能的是()A.PgUpB.TabC.Space barD.Esc2.Design/Options菜单中下列选项不属于开关选项的是:()A.Snap GridB.Hidden PinsC.Electrical GridD.Title block3.下列不属于VHDL基本程序结构是()A..CONFIGURATION定义区B..ARCHITECTURE定义区C.USE定义区D.ENTITY定义区4.下列关于VHDL中信号说法不正确的是:()A.信号赋值可以有延迟时间,B.信号除当前值外还有许多相关值,如历史信息等,变量只有当前值C.信号可以是多个进程的全局信号D.号值输入信号时采用代入符“:=”,而不是赋值符”<=”,同时信号可以附加延时。

EDA技术课后参考答案(陈炳权_曾庆立)之欧阳德创编

EDA技术课后参考答案(陈炳权_曾庆立)之欧阳德创编

答案由个人做出,可能有不全或错误之处,欢迎大家批评指正。

第一章1.EDA的英文全称及其中文含义是什么?答:EDA是Electronic Design Automation,其中文含义是“电子设计自动化”。

2.什么叫EDA技术?简述EDA技术的发展历程。

答:EDA技术有狭义和广义之分,狭义EDA技术就是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术,或称为IES/ASIC自动设计技术。

3.简述用EDA技术设计电路的设计流程。

答EDA设计流程包括:设计准备、设计输入、设计处理、设计校验、器件编程、器件测试和设计验证。

4.什么叫”综合”和”网表文件”?答:(A)在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。

(1)从自然语言转换到VHDL 语言算法表示,即自然语言综合。

(2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。

(3)从 RTL 级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。

(4)从逻辑门表示转换到版图表示(ASIC 设计),或转换到FPGA 的配置网表文件,可称为版图综合或结构综合。

综合在电子设计自动化中处于核心地位。

(B)文件是描述电路的连接关系的文件,一般以文本文件的形式存在。

英文为netlist file格式有cdl, spice, aucdl...等5.从使用的角度来讲,EDA技术主要包括几个方面的内容?这几个方面在整个电子系统的设计中分别起什么作用?答: EDA技术的学习主要应掌握4个方面的内容:① 大规模可编程逻辑器件;② 硬件描述语言;③ 软件开发工具;④ 实验开发系统。

EDA技术期末试卷(含答案)

EDA技术期末试卷(含答案)

EDA技术期末试卷(含答案)班级学号姓名密封线内不得答题⼀、单项选择题(30分) 1.以下描述错误的是 CA .QuartusII 是Altera 提供的FPGA/CPLD 集成开发环境B .Altera 是世界上最⼤的可编程逻辑器件供应商之⼀C .MAX+plusII 是Altera 前⼀代FPGA/CPLD 集成开发环境QuartusII 的更新换代新产品D .QuartusII 完全⽀持VHDL 、Verilog 的设计流程2.以下⼯具中属于FPGA/CPLD 开发⼯具中的专⽤综合器的是 BA .ModelSimB .Leonardo SpectrumC .Active HDLD .QuartusII 3.以下器件中属于Xilinx 公司⽣产的是 CA .ispLSI 系列器件B .MAX 系列器件C .XC9500系列器件D .FLEX 系列器件 4.以下关于信号和变量的描述中错误的是 BA .信号是描述硬件系统的基本数据对象,它的性质类似于连接线B .信号的定义范围是结构体、进程C .除了没有⽅向说明以外,信号与实体的端⼝概念是⼀致的D .在进程中不能将变量列⼊敏感信号列表中 5.以下关于状态机的描述中正确的是 BA .Moore 型状态机其输出是当前状态和所有输⼊的函数B .与Moore 型状态机相⽐,Mealy 型的输出变化要领先⼀个时钟周期C .Mealy 型状态机其输出是当前状态的函数D .以上都不对6.下列标识符中, B 是不合法的标识符。

A .PP0B .ENDC .Not_AckD .sig7.⼤规模可编程器件主要有FPGA 、CPLD 两类,下列对CPLD 结构与⼯作原理的描述中,正确的是 C 。

A .CPLD 即是现场可编程逻辑器件的英⽂简称B .CPLD 是基于查找表结构的可编程逻辑器件C .早期的CPLD 是从GAL 的结构扩展⽽来D .在Altera 公司⽣产的器件中,FLEX10K 系列属CPLD 结构8.综合是EDA 设计流程的关键步骤,在下⾯对综合的描述中, D 是错误的。

《EDA技术》试题及答案

《EDA技术》试题及答案

一、简答题:(30分,每小题5分)1.CPLD和FPGA有什么差异?在实际应用中各有什么特点?答:差异:(1)CPLD:复杂可编程逻辑器件,FPGA:现场可变成门阵列;(2)CPLD:基于乘积项技术的确定型结构,FPGA:基于查找表技术的统计型结构;(3)CPLD:5500 ~ 50000门,FPGA:1K ~ 10M 门。

实际应用中各自的特点:CPLD适用于逻辑密集型中小规模电路,编程数据不丢失,延迟固定,时序稳定;FPGA 适用于数据密集型大规模电路,需用专用的ROM 进行数据配置,布线灵活,但时序特性不稳定2.简述VHDL语言中端口模式IN,OUT,BUFFER和INOUT 各自的特点及OUT,BUFFER与INOUT的主要区别?答:端口模式中各自的含义与特点为:IN:输入,只读;OUT:输出,只写;BUFFER:带反馈的输出,可读可写;INOUT:双向,可读可写。

OUT,BUFFER,INOUT各自的区别:OUT模式下的信号,在程序中只能作为对象被赋值,不能作为源赋给其他信号;BUFFER模式下的信号,在程序中既可作为对象被赋值,又可作为源赋给其他信号,对象和源是同时发生,是同一个信号;INOUT模式下的信号,双向传输,同样既做对象又可作源,但对象和源不是同一个信号。

3.VHDL中有哪3种数据对象?详细说明它们的功能特点以及使用场所。

3种数据对象为:常量、信号、变量。

各自的功能特点和使用场所:常量:代表电路中一个确定的数,如电源、地等。

全局量,信号变量使用的地方都可用信号:代表电路中的某一条硬件连接线,包括输入、输出端口,信号赋值存在延迟。

全局量,使用场所:architecture、package、entitiy。

变量:代表电路中暂存某些值的载体。

变量赋值不存在延迟。

局部量,使用场所:process、function、procedure。

4.数字频率计功能是测量被测信号的频率,测量频率的基本原理是什么?实现的主要逻辑模块有那些?答:频率计测量频率的基本原理是:1秒时间内代测信号的脉冲个数。

EDA期末试卷及答案

EDA期末试卷及答案

EDA期末试卷及答案B.综合的输出是一个网表,包括逻辑门和它们之间的连接关系;C.综合的目的是将高层次的抽象设计转化为低层次的逻辑电路;D.综合只能在设计输入完成后进行,不能在设计实现和实际设计检验阶段进行。

一、填空题1.EDA技术的发展可分为MOS时代、CMOS时代和ASIC三个阶段。

2.EDA设计流程包括设计输入、设计实现、实际设计检验和下载编程四个步骤。

3.EDA设计输入主要包括图形输入、HDL文本输入和状态机输入。

4.时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为功能仿真。

5.VHDL的数据对象包括变量、常量和信号,它们是用来存放各种类型数据的。

6.设计结束后必须进行仿真,以检查设计文件的正确性。

7.EDA方式设计实现的电路设计文件最终可以编程下载到FPGA和CPLD芯片中,完成硬件设计和验证。

8.MAX+PLUS的文本文件类型是“.vhd”。

9.在PC上利用VHDL进行项目设计时,不允许在根目录下进行,必须在根目录为设计建立一个工程目录(即文件夹)。

10.VHDL源程序的文件名应与实体名相同,否则无法通过编译。

二、选择题:11.在EDA工具中,能完成在目标系统器件上布局布线软件称为“适配器”。

12.执行MAX+PLUSⅡ的“TimingAnalyzer”命令可以精确分析设计电路输入与输出波形间的延时量。

13.VHDL常用的库是“XXX”。

14.“PROCESS语句”既是并行语句又是串行语句。

15.在VHDL中,用语句“clock’EVENT AND clock=’0’”表示clock的下降沿。

16.IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为“胖IP”。

17.综合是EDA设计流程的关键步骤,在下面对综合的描述中,“综合只能在设计输入完成后进行,不能在设计实现和实际设计检验阶段进行”是错误的。

最新EDA技术期末试卷(含答案)资料

最新EDA技术期末试卷(含答案)资料

一、单项选择题(30分)1.以下描述错误的是 CA.QuartusII是Altera提供的FPGA/CPLD集成开发环境B.Altera是世界上最大的可编程逻辑器件供应商之一C.MAX+plusII是Altera前一代FPGA/CPLD集成开发环境QuartusII的更新换代新产品D.QuartusII完全支持VHDL、Verilog的设计流程2.以下工具中属于FPGA/CPLD开发工具中的专用综合器的是 B A.ModelSim B.Leonardo Spectrum C.Active HDL D.QuartusII 3.以下器件中属于Xilinx 公司生产的是 CA.ispLSI系列器件B.MAX系列器件C.XC9500系列器件D.FLEX系列器件4.以下关于信号和变量的描述中错误的是 BA.信号是描述硬件系统的基本数据对象,它的性质类似于连接线B.信号的定义范围是结构体、进程C.除了没有方向说明以外,信号与实体的端口概念是一致的D.在进程中不能将变量列入敏感信号列表中5.以下关于状态机的描述中正确的是 BA.Moore型状态机其输出是当前状态和所有输入的函数B.与Moore型状态机相比,Mealy型的输出变化要领先一个时钟周期C.Mealy型状态机其输出是当前状态的函数D.以上都不对6.下列标识符中, B 是不合法的标识符。

A.PP0 B.END C.Not_Ack D.sig7.大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理的描述中,正确的是 C 。

A.CPLD即是现场可编程逻辑器件的英文简称B.CPLD是基于查找表结构的可编程逻辑器件C.早期的CPLD是从GAL的结构扩展而来D.在Altera公司生产的器件中,FLEX10K 系列属CPLD结构8.综合是EDA设计流程的关键步骤,在下面对综合的描述中, D 是错误的。

A.综合就是把抽象设计层次中的一种表示转化成另一种表示的过程B.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件C.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束D.综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的)9.嵌套使用IF语句,其综合结果可实现 A 。

电子科技大学《EDA技术》20春期末考试

电子科技大学《EDA技术》20春期末考试

电子科技大学《EDA技术》20春期末考试
(单选题)1: 在VHDL的IEEE标准库中,预定义的标准逻辑位STD_LOGIC的数据类型中是用()表示的。

A: 小写字母和数字
B: 大写字母数字
C: 大或小写字母和数字
D: 全部是数字
正确答案: B
(单选题)2: 在VHDL中,IF语句中至少应有1个条件句,条件句必须由()表达式构成。

A: BIT
B: STD_LOGIC
C: BOOLEAN
D: INTEGER
正确答案: C
(单选题)3: “a=4’b1100,b=4’bx110”选出正确的运算结果()。

A: a&b=0
B: a&&b=1
C: b&a=x
D: b&&a=x
正确答案: B
(单选题)4: VHDL的字符是以( )括起来的数字、字母和符号。

A: 单引号
B: 双引号
C: 括号
D: 方括号
正确答案: A
(单选题)5: STD_LOGIG_1164中定义的高阻是字符()。

A: X
B: x
C: z
D: Z
正确答案: D
(单选题)6: 以下不属于EDA技术的特点是()。

A: 用软件方式设计硬件
B: 用硬件方式设计软件
C: 设计过程中可仿真
D: 系统可现场编程
正确答案: D
(单选题)7: 过程调用前需要将过程首和过程体装入()中。

西电eda试题及答案

西电eda试题及答案

西电eda试题及答案一、单项选择题(每题2分,共20分)1. EDA技术指的是什么?A. 电子数据交换B. 电子设计自动化C. 电子文档分析D. 电子设备应用答案:B2. 在EDA软件中,HDL指的是什么?A. 高级硬件描述语言B. 高级硬件定义语言C. 高级硬件描述逻辑D. 高级硬件设计语言答案:A3. 下列哪个不是FPGA的常用开发工具?A. QuartusB. ModelSimC. VivadoD. MATLAB答案:D4. 在VHDL中,以下哪个关键字用于定义并行语句?A. ifB. forC. beginD. end答案:C5. 以下哪个不是数字电路设计中常用的逻辑门?A. 与门B. 或门C. 非门D. 异或门答案:D6. 在数字逻辑设计中,同步电路与异步电路的主要区别是什么?A. 同步电路使用时钟信号B. 异步电路使用时钟信号C. 同步电路不使用时钟信号D. 异步电路不使用时钟信号答案:A7. 在EDA设计中,仿真的主要目的是什么?A. 验证设计的功能B. 测试设计的稳定性C. 优化设计的参数D. 以上都是答案:D8. 下列哪个不是EDA设计流程中的步骤?A. 需求分析B. 模块设计C. 硬件编程D. 软件编程答案:D9. 在VHDL中,以下哪个不是进程的属性?A. sensitivity listB. process nameC. process typeD. process statement答案:C10. 在Verilog中,以下哪个关键字用于定义一个模块?A. moduleB. functionC. taskD. always答案:A二、多项选择题(每题3分,共15分)1. 下列哪些是EDA设计中常用的硬件描述语言?A. VHDLB. VerilogC. C++D. SystemVerilog答案:ABD2. 在FPGA设计中,以下哪些是常见的测试方法?A. 功能仿真B. 时序仿真C. 硬件测试D. 软件测试答案:ABC3. 下列哪些是数字电路设计中常用的仿真工具?A. ModelSimB. Xilinx ISEC. VivadoD. Quartus答案:AC4. 在EDA设计中,以下哪些是设计验证的方法?A. 代码审查B. 功能仿真C. 时序仿真D. 硬件测试答案:ABCD5. 在VHDL中,以下哪些是并行语句?A. ifB. caseC. forD. generate答案:BCD三、简答题(每题5分,共20分)1. 请简述EDA技术在现代电子设计中的重要性。

eda期末考试题及答案

eda期末考试题及答案

eda期末考试题及答案EDA期末考试题及答案一、选择题(每题2分,共20分)1. EDA代表的是:A. 电子设计自动化B. 电子数据交换C. 电子文档管理D. 电子设备分析答案:A2. 在EDA中,HDL指的是:A. 高级硬件描述语言B. 硬件描述语言C. 硬件设计语言D. 硬件开发语言答案:B3. 下列哪个不是EDA工具的常见功能?A. 仿真B. 布局C. 布线D. 编程答案:D4. FPGA代表的是:A. 现场可编程门阵列B. 固定可编程门阵列C. 功能可编程门阵列D. 快速可编程门阵列答案:A5. VHDL是一种:A. 编程语言B. 硬件描述语言C. 数据库语言D. 操作系统答案:B6. 以下哪个是EDA软件的典型应用?A. 网页设计B. 游戏开发C. 电子电路设计D. 办公自动化答案:C7. 在EDA设计流程中,综合是指:A. 将设计从逻辑级别转换为门级别B. 将设计从门级别转换为晶体管级别C. 将设计从晶体管级别转换为物理布局D. 将设计从物理布局转换为最终产品答案:A8. 下列哪个是EDA设计中的错误?A. 功能错误B. 语法错误C. 布局错误D. 所有选项都是答案:D9. 以下哪个不是EDA设计中的测试类型?A. 功能测试B. 性能测试C. 压力测试D. 代码测试答案:D10. 在EDA中,后仿真分析是指:A. 在仿真之前进行的分析B. 在仿真之后进行的分析C. 在仿真过程中进行的分析D. 不进行任何分析答案:B二、简答题(每题10分,共30分)1. 请简述EDA在电子设计中的重要性。

答案:EDA(电子设计自动化)是现代电子设计不可或缺的工具,它允许设计师使用软件工具来设计和验证电子系统,从而提高设计效率,减少错误,加快产品上市时间,并且可以设计出更复杂、更高性能的电子系统。

2. 描述一下在EDA设计流程中,仿真的作用是什么?答案:在EDA设计流程中,仿真是一个关键步骤,它允许设计师在实际制造电路之前验证设计的功能和性能。

电子科大17春《EDA技术》在线作业3

电子科大17春《EDA技术》在线作业3

2017秋17春电子科大17春《EDA技术》在线作业3一、单选题(共20 道试题,共100 分。

)1. 如果A、B均为为std_logic_vector的数据类型,A的值为“100”,B的值为“011”,则B&A的值为____________。

A. 100011B. 011100C. 110011D. 010011正确答案:2. 重载操作符的定义一般见于IEEE 库的哪几个程序包A. std_logic_arith、std_logic_unsigned、std_logic_signedB. std_logic_arith、std_logic_unsigned、std_logic_1164C. std_logic_unsigned、std_logic_1164、std_logic_arithD. std_logic_1164、std_logic_arith、std_logic_unsigned、std_logic_signed正确答案:3. 下面哪个标识符是符合VHDL语法的合法标识符A. 4plusB. v-4C. the_signalD. buffer正确答案:4. 在VHDL中,用语句_____表示clock的下降沿A. clock’EVENTB. clock’EVENT AND clock=’1’C. clock=’0’D. clock’EVENT AND clock=’0’正确答案:5. 关于数组A的定义如下:signal A:bit_vector(7 downto 0);那么,A=“00110101”,A(7 downto 5)=_____________。

A. ’010B. ‘001C. ‘011D. ’100正确答案:6. 请在下例的语句中选择所需的符号____。

signal a,b,c : std_logic;c____a+b after 10ns;A. :=B. <=C. ==D. =正确答案:7. 下例程序执行后,X和Y的值分别为。

最新EDA期末考试试卷及答案资料

最新EDA期末考试试卷及答案资料

最新EDA期末考试试卷及答案资料精品文档一、适配器的功能是在指定的目标设备中配置合成器生成的网表文件,以生成最终下载文件b.适配所选定的目标器件可以不属于原综合器指定的目标器件系列c、自适应完成后,自适应生成的仿真文件可用于精确的定时仿真。

D.一般来说,edal软件中的合成器可以由专业的第三方EDA公司提供,而适配器需要由FPGA/CPLD供应商提供2.vhdl语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述d。

a、设备的外部特征B.设备的综合约束C.设备的外部特征和内部功能D.设备的内部功能3。

在以下标识符中,B是非法标识符。

a.state0b、 9moonc.不u确认u0d.signall4.在以下工具中,D属于FPGA/CPLD集成开发工具a.modelsimb.synplifyproc.matlabd.quartusii5.进程中的变量赋值语句,其变量更新是a。

a、立即完成B.按顺序完成C.在过程结束时完成D.没有一个是正确的6.以下关于case语句描述中错误的是aa.case语句执行中可以不必选中所列条件名的一条b、除非所有条件句的选择值都能完全覆盖case语句中表达式的值,否则最后一个条件句的选择必须加上最后一句“when others=>”c.case语句中的选择值只能出现一次D.在条件语句中的选择值或标识符所表示的值必须位于表达式的中间。

精品文档值范围7.以下哪个程序包是数字系统设计中最重要最常用的程序包ba.std_logic_arithb.std_logic_1164c、标准逻辑无符号d.std_logic_signed8.基于EDA软件的FPGA/CPLD设计流程为:原理图/HDL文本输入→ A.→ 合成→ 改编本→ 定时模拟→ 编程下载→ 硬件测试。

a、功能模拟B.逻辑综合C.配置d.引脚锁设置9.不完整的if语句,其综合结果可实现da、三态控制电路B.条件相位逻辑电路或c.双向控制电路d、顺序逻辑电路10。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
满分:2分
正确答案:C
21.在VHDL的CASE语句中,条件语句中的“=>”不是操作符号,其作用相当于()。
A. IF
B. THEN
C. AND
D. OR
满分:2分
正确答案:B
22. VHDL中信号定义的位置是()。
A.实体中任何位置
B.实体中特定位置
C.结构体中任何位置
D.结构体中特定位置
满分:2分
A. “11011011”
B. “00110100”
C. “11011001”
D. “00101100”
满分:2分
正确答案:D
9.在VHDL语言编写的程序中,注释使用()符号。
A. //
B. --
C. ;
D. __
满分:2分
正确答案:B
10.可以进行在系统编程的器件是()。
A. EPROM
B. PAL
26. reg[7:0] mema[255:0]正确的赋值是()。
A. mema[5]=3'd0
B. 8'd0
C. 1'b1
D. mema[5][3:0]=4'd1
满分:2分
正确答案:A
27. CPLD内部含有多个逻辑单元块,每个逻辑单元块相当于一个()。
A. PAL
B. GAL
C. FPGA
D. EPROM
满分:2分
正确答案:B
28.在VHDL中,语句”FOR I IN 0 TO 7 LOOP”定义循环次数为()次。
A. 8
B. 7
C. 0
D. 1
满分:2分
正确答案:A
29.在VHDL的端口声明语句中,用( )声明端口为输出方向。
A. IN
B. OUT
C. INOUT
D. BUFFER
满分:2分
正确答案:B
C.状态图输入方式
D. VHDL程序
满分:2分
正确答案:A
16.一般把EDA技术的发展分为( )个阶段。
A. 5
B. 4
C. 3
D.HDL中,88_670_551.453_909属于( )文字。
A.整数
B.以数制基数表示的
C.实数
D.物理量
满分:2分
正确答案:C
18.符合1987VHDL标准的标识符是()。
A.总体设计
B.设计输入
C.详细设计
D.设计数据
满分:2分
正确答案:B
14.一个能为VHDL综合器接受,并能作为一个独立的设计单元的完整的VHDL程序成为()。
A.设计输入
B.设计输出
C.设计实体
D.设计结构
满分:2分
正确答案:C
15. EDA工具的常用源程序输入方式不包括()。
A.流程图
B.原理图输入
C.并行
D.任何
满分:2分
正确答案:A
5.使用STD_LOGIG_1164使用的数据类型时,()。
A.可以直接调用
B.必须在库和包集合中声明
C.必须在实体中表明
D.必须在结构体中说明
满分:2分
正确答案:B
6.在Quartus II中,新建时序波形文件时应选择()。
A. Editor fie
B. Graphic Editor file
C. BIT
D.前面三个答案都不对
满分:2分
正确答案:C
25.在VHDL中,用语句( )表示检测clock的下降沿。
A. clock'EVENT
B. clock'EVENT AND clock='2'
C. Clok='1'
D. clock'EVENT AND clock='1'
满分:2分
正确答案:D
C. GAL
D. FPGA
满分:2分
正确答案:D
11.使用QuartusⅡ工具软件实现原理图设计输入,应采用( )方式。
A.图形编辑
B.文本编辑
C.符号编辑
D.波形编辑
满分:2分
正确答案:A
12. EDA工具不包括()等模块。
A. HDL综合器
B.控制器
C.适配器
D.下载器
满分:2分
正确答案:B
13. EDA设计流程包括设计准备、()、设计处理和器件编程四个步骤。
A.形式仿真
B.时序仿真
C.数值仿真
D.行为仿真
满分:2分
正确答案:B
3.关于1987标准的VHDL语言,标识符的正确描述是()。
A.必须以英文字母开头
B.可以使用汉字开头
C.可以使用数字开头
D.任何字符都可以
满分:2分
正确答案:A
4.在VHDL中,PROCESS结构是由( )语句组成的。
A.顺序
B.顺序和并行
17年6月考试《EDA技术》期末大作业
试卷总分:100得分:100
一、单选题(共35道试题,共70分)
1.在MAX+PLUSII集成环境下为图形文件产生一个元件符号的主要作用是()。
A.综合
B.编译
C.仿真
D.被高层次电路设计调用
满分:2分
正确答案:D
2. EDA的设计验证包括功能仿真、()和器件测试三个过程。
A. A_2
B. A+2
C. 2A
D. 22
满分:2分
正确答案:A
19.变量是局部量,可以写在()。
A.实体中
B.进程中
C.线粒体
D.种子体中
满分:2分
正确答案:B
20.下面关于信号和变量的比较,错误的是()。
A.信号赋值可以有延迟时间
B.变量赋值无时间延迟
C.变量可以看作硬件的一根连线
D.进程对信号敏感
正确答案:D
23.状态机编码方式中,其中( )占用触发器较多,但其简单的编码方式可减少状态译码组合逻辑资源,且易于控制非法状态。
A.状态位直接输出型编码
B.一位热码编码
C.顺序编码
D.格雷编码
满分:2分
正确答案:B
24.可以不必声明而直接引用的数据类型是()。
A. STD_LOGIC
B. STD_LOGIC_VECTOR
C. Text Editor file
D. Vector waveform file
满分:2分
正确答案:D
7.使用QuartusⅡ工具软件修改设计元件符号,应采用( )方式。
A.图形编辑
B.文本编辑
C.符号编辑
D.波形编辑
满分:2分
正确答案:C
8.执行下列语句后Q的值等于()。?? SIGNAL E: STD_LOGIC_VECTOR (2 TO 5); SIGNAL Q: STD_LOGIC_VECTOR (9 DOWNTO 2); ??E<=(2=>’0’, 4=>’0’, OTHERS=>’1’); Q<=(2=>E (2), 4=>E (3), 5=>’1’, 7=>E (5), OTHERS=>E (4)); ??
30.在EDA发展的()阶段,人们只能借助计算机对电路进行模拟、预测,以及辅助进行集成电路版图编辑、印刷电路板(PCB)布局布线等工作。
A. CAD
B.CAM
C. CAE
D. EDA
满分:2分
正确答案:A
31.仿真是对电路设计的一种()检测方法。
A.直接的
相关文档
最新文档