4位数值比较器设计.pptx

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
3
图 3 输出电路设计
3.2 主要芯片介绍
3.2.1 74L85D 芯片 74LS85 芯片是四位数值比较器,共有 54/7485、54/74S85、54/74LS85 三种 线路结构型式。74LS85D 可进行二进制码和 BCD 码的对比,对两个 4 位字的比较 结果有三个输出端(A>B,A=B,A<B)。两个4位数的比较是从A的最高位 A3 和B的最高
A>B
A=B A<B
3.四位数值比较器设计的技术方案
3.1 四位数值比较器单元模块功能及电路设计
3.1.1 输入电路设计 输入电路设计采用单刀双掷开关。单刀双掷开关的由动端和不动端组成,动
端就是所谓的“刀”,它应该连接电源的进线,也就是来电的一端,一般也是

2
开关的手柄相连的一端;另外的两端就是电源输出的两端,也就是所谓的不动端, 它们是与用电设备相连的。它的作用,一是可以控制电源向两个不同的方向输出, 也就是说可以用来控制两台设备,或者也可以控制同一台设备作转换运转方向使 用。
3 要有仿真效果及现象或数据分析。 2.四 位数值比较器设计方案制定
1
2.1 四位数值比较器工作的原理 对两个 4 位二进制数 A3A2A1A0 与 B3B2B1B0 进行比较。从 A 的最高位 A3 和
B 的最高位 B3 进行比较,如果他们不相等,则该位的比较结果可以作为两数的 比较结果。若最高位 A3=B3,则再比较次高位 A2=B2,余此类推。如果两数相等, 那么,必须将进行到最低位才能得到结果。可以知道:
电子技术课程设计报告
题 目: 4 位数值比较器设计
学生姓名: 学生学号: 年 级: 专业: 班
指导教师:
级:
机械与电气工程学院制 2016 年 11 月
4 位数值比较器设计
机械与电气工程学院:自动化专业
1. 课程设计的任务与要求 1.1 课程设计的任务 采用 Multisim 12.0 软件实现 4 位数值比较器的设计与仿真。 1.2 课程设计的要求 1 设计一个 4 位数值比较器的电路,对两个 4 位二进制进行比较。 2 采用 74Ls85 集成数值比较器。
分析与设计的优秀仿真软件,其界面直观、操作方便,创建电路需要的元件和电 路仿真需要的测量仪器都可以以直接从屏幕抓取,且元件和仪器的图形与实物外 形接近。Multisim 12.0 已经成为电子技术领域进行教学、学习和实验的必不可 少的辅助软件,是每一个电子技术爱好者、学习者和工程技术人员必须掌握的工 具软件之一,Multisim 是美国国家仪器(NI)有限公司推出的以 Windows 为基 础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理 图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。
在这个电路中单刀双掷开关控制高低电平,从而控制输入端,使得 A、B 电 平变化,实现四位数值比较。
图 2 输入电路设计
3.1.2 信号输出电路设计 信号灯里面装有一个传感器,当信号灯发出去的光被镜面反射回来时,传感
器接收到信号,你能保证信号灯亮的,只要有一点光接受就可以,所以只要不完 全挡住灯都会亮。
FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1+FA3=B3FA2=B2FA1=B2FA0 >B0+FA3=B3FA2=B2FA1=B1FA0=B0IA>B (2-1) FA<B=FA3<B3+FA3=B3FA2<B2+FA3=B3FA2=B2FA1<B1+FA3=B3FA2=B2FA1=B2FA0 <B0+FA3=B3FA2=B2FA1=B1FA0=B0IA<B (2-2) FA=B=FA3=B3FA2=B2FA1=B1FA0=B0IA=B (2-3) IA>B、IA<B 和 IA=B 称为扩展输入端,是来自地位的比较结果。扩展输入端与其 他数值比较器的输出连接,以便组成位数更多的书值比较器。若仅对 4 位数进行 比较时,IA>B、IA<B、IA=B 进行适当处理,IA>B=IA<B=0,IA=B=1。
位 B3 进行比较,如果它们不相等,则该位的比较结果可以作为两数的比较结果。若最高 位
A3=B3,则再比较次高位 A2 和 B2,余类推。显然,如果两数相等,那么,比较步骤 必须进行到最低位才能得到结果。其功能结构电路如下图 5。四位数值比较器真 值表如下表 1 所示。
4
图 4 74LS85D 芯片
2.2 四位数值比较器设计方案 四位数值比较器主要由一个 74LS85 和三个信号灯组成。通过四个开关的开
通和闭合接收用户输入。通过信号灯的亮与不亮来判断数值得大小。黄灯亮表示 A>B;红灯亮表示 A<B;绿灯亮表示 A=B。其流程图如下图 1 所示。
信号灯判断
数据输入
四位数值比较器
图 1 四位数值比较器电路组成框图
3.4 元器件清单
名称 四位数值比较器
PROBE PROBE PROBE
图 6 四位数值比较器整体电路原理图
表 3 元器件清单
规格型号 74LS85D 黄色 2.5V 红色 2.5V 绿色 2.5V
编号 U1 X1 X2 X3
7
数量 1 1 1 1
单刀双掷开关
TD_SW1
s1、s2、s3、s4
4
4 四位数值比较器设计的仿真实现 4.1 仿真软件的介绍 本次课程设计采用 Multisim 12.0 来实现数字电子钟的仿真,它是电子线路
4.2 四位数值比较器设计仿真实现 四位数值比较器未仿真实现,在仿真软件中的状态,图 7 所示。
图 7 四位数值比较器未开始
开关 S1 接通时,A3 为高电势端,B3 为低电势端,即 A>B。因此黄灯亮,如图 8 所示。
8
图 8 A>B 的情况
开关 Key、Key2 接通,开关 S3、S4 接通时,A3、B3 为低电势端;A2、B2 为低电 势端;A1、B1 为高电势端,即 A=B。因此红灯亮,如图 9 所示。
5
图 5 75Ls85D 功能结构电路设计图
表 1 四位数值比较器真值表 比较输入
输出
A3 B3
A2 B2
A1 B1
A0 B0
L
G
M
>
*
*
*
1
0
0
=
>
*
*
1
0
0
6
=
=
>
*
1
0
0
=
=
=
>
1
0
0
=பைடு நூலகம்
=
=
=
0
1
0
<
*
*
*
0
0
1
=
<
*
*
0
0
1
=
=
<
*
0
0
1
=
=
=
<
0
0
1
3.3、四位数值比较器整体电路原理图
相关文档
最新文档