EDA技术与VHDL实用教程

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
EDA技术,电子设计师可以方便地实现IC 设计、电子电路设计和PCB设计等工作。
EDA技术与VHDL实用教程
3
广义的EDA技术,除了狭义的EDA技 术外,还包括计算机辅助分析CAA技术(如 PSPICE,EWB,MATLAB等),印刷电路 板计算机辅助设计PCB-CAD技术(如 PROTEL,ORCAD等)。
EDA技术与VHDL实用教程
14
(三)EDA软件 目前在国内比较流行的EDA 软件工具主 要有Altera公司的MAX+plusⅡ和QuartusⅡ、 Lattice公司的Expert LEVER和Synario、 Xilinx公司的Foundation和Alliance、Actel 公司的Actel Designer等,这四家公司的 EDA开发软件特性如表0-1所示。
25
二、PLD分类
可编程逻辑器件(PLD)
简单 PLD
复杂 PLD
PROM
PLA
PAL
GAL
CPLD
FPGA
26
三、PLD/FPGA的结构和原理
(一)基于乘积项(Product-Term)的PLD结 构 (二)乘积项结构 PLD 的逻辑实现原理
(三)查找表(Look-Up-Table)的原理与结 构
105
3360
18
EDA实验的3个层次
1、逻辑行为的实现 如:译码器、红绿交通灯控制、表决器、显示扫描器、电梯控制、乒乓球等 电路的设计,时钟频率一般低于4MHz 2、控制与信号传输功能的实现 如:各类信号发生器、A/D采样控制器、FIFO、RS232或PS/2通信、 FPGA/CPLD与单片机综合控制等电路的设计,时钟频率一般在25MHz 左右 3、算法的实现 如:离散FFT变换、数字滤波器、浮点乘法器、高速宽位加法器、数字 振荡器、数字锁相环、调制解调器、图象DSP等电路的设计,时钟频 率一般在50MHz以上
<<EDA技术与VHDL实用教程>>
EDA技术与VHDL实用教程
1
1
2 3
EDA技术概念
EDA技术的主要特征
EDA技术发展历程
4
5
EDA技术的主要内容
EDA技术的应用展望
EDA技术与VHDL实用教程
2
现代电子设计技术的核心就是EDA (电子设计自动化,Electronic
Design Automation)技术。利用
6
– EDA技术主要有以下这些特征: (1)高层综合与优化的理论与方法取得了很大的进 展,其结果大大缩短了复杂的ASIC的设计周期,同
时改进了设计质量;
(2)采用硬件描述语言来描述10万门以上的设计,
形成了国际通用的VHDL等硬件描述语言。它们均支 持不同层次的描述,使得复杂IC的描述规范化,便 于传递、交流、保存与修改,并可建立独立的工艺 设计文档,便于设计重用;
EDA设计流程 Quartus Ⅱ软件包 硬件描述语言VHDL语言
22
EDA技术与VHDL实用教程
第1章 EDA技术概述
1.1
1.2 1.3
可编程逻辑器件
EDA技术的主要特征
EDA技术发展历程
1.4
EDA技术的主要内容
23
EDA技术与VHDL实用教程
1.1
可编程逻辑器件
一、可编程逻辑器件概述
(一)PLD/FPGA介绍 CPLD:复杂可编程逻辑器件 Complex Programmable Logic Device FPGA:现场可编程门阵列 Field Programmable Gate Array
(四)查找表结构的FPGA逻辑实现原理
EDA技术与VHDL实用教程
27
例:用PROM完成半加器逻辑阵列
A1 A0 或阵列 (可编程)
F 0 A0 A1 A0A1 F1 A1A0
A1 A1 A0 A 0 与阵列(固定)
F1
F0
28
Biblioteka Baidu
CPLD的结构与可编程原理
29
FPGA的结构与工作原理
17
EDA技术与VHDL实用教程
EDA与传统电子设计方法的比较
FPGA和DSP芯片实现FIR滤波器的速度对比
8位FIR滤 FPGA的处理速度 达到相当速度所需DSP芯片的指令执行速度
波器阶数
单位: MSPS
单位:MIPS
8
104
832
16
24
101
103
1616
2472
32
EDA技术与VHDL实用教程
本书讨论的对象专指狭义的EDA技术。
EDA技术与VHDL实用教程 5
• EDA技术的3个层次
(1)EWB、PSpice、protel的学习作为 EDA的最初级内容; (2)利用VHDL完成对CPLD/FPGA的开 发等作为中级内容; (3)ASIC的设计作为最高级内容
EDA技术与VHDL实用教程
EDA技术与VHDL实用教程 19
电子EDA技术发展迅猛,逐渐在教学、科研、 产品设计与制造等各方面都发挥着巨大的作用。 1.EDA技术将广泛应用与高校电类专业的实践 教学工作中
2.EDA技术将广泛应用于科研工作和新产品的 开发中
3.EDA技术将广泛应用于专用集成电路的开发 4.EDA技术将广泛应用于传统机电设备的升级 换代和技术改造
它不仅速度快、集成度高,能够完成用户定 义的逻辑功能,还可以加密和重新定义编程, 其允许编程次数可多达上万次。 使用可编程逻辑器件可大大简化硬件系统、 降低成本、提高系统的可靠性、灵活性。
EDA技术与VHDL实用教程
13
目前,PLD主要分为FPGA(现场可编 程门阵列)和 CPLD(复杂可编程逻辑 器件)两大类。 FPGA和CPLD最明显的特点是高集 成度、高速度和高可靠性。由于它们 的明显特点,可以应用于超高速领域 和实时测控方面以及嵌入式领域等等。
EDA技术与VHDL实用教程 8
20世纪70年代——计算 机辅助设计CAD阶段
EDA技术的发展分
为三个阶段
20世纪80年代 ——计算 机辅助工程设计阶段
20世纪90年代—— 电子 系统设计自动化阶段
EDA技术与VHDL实用教程
9
(一)硬件描述语言 硬件描述语言(HDL)是各种描述方法中最 能体现EDA优越性的描述方法。 所谓硬件描述语言,实际就是一个描述工具, 其描述的对象就是待设计电路系统的逻辑功 能、实现该功能的算法、选用的电路结构以 及其他各种约束条件等。 通常要求HDL既能描述系统的行为,又能描 述系统的结构。
EDA技术与VHDL实用教程
24
PLD能做什么? PLD能完成任何数字器件的功能,上 至高性能的CPU,下至简单的74系列电 路,都可以用PLD来实现. PLD如同一张白纸或是一堆积木,工 程师可以通过传统的原理图输入法或 是硬件描述语言,自由的设计一个数 字系统。 如何使用PLD? 数字电路基础,会使用计算机,有 一定编程基础。
EDA技术与VHDL实用教程
10
目前主要有以下两种HDL语言:
1.Verilog-HDL
Verilog-HDL语言是在1983年由GDA (Gateway Design Automation)公司首创的, 主要用于数字系统的设计。 2.VHDL
VHDL语言是美国国防部于20世纪80年代 后期,出于军事工业的需要开发的。
EDA设计流程
生成VHDL 源程序
VHDL 综合器 行为仿真 VHDL 仿真器 功能仿真 时序仿真 FPGA/CPLD 布线/适配器 自动优化、布局、布线/适配 逻辑综合、优化
网表文件 (EDIF,XNF,VHDL…)
熔丝图、 SRAM 文件、 VHDL/Verilog网表
测试电路 硬件测试
EDA技术与VHDL实用教程
查找表逻辑结构
输入1 输入2 输入3 输入4
查找表 LUT
输出
30
四、选择PLD还是FPGA
FPGA: SRAM工艺;直接烧写程序掉电后程序丢失;理
论上擦写100万次以上;一般使用需要外挂 EEPROM,
可以达到几百万门电路。比如ALTERA公司的 APEX、F LEX、ACEX、STRATIX、CYCLONE系列。 CPLD: EPPROM或FLASH工艺;直接烧写程序掉电后程 序不会消失;一般可以擦写几百次,并且一般宏单元
EDA技术与VHDL实用教程
11
VHDL语言是一种高级描述语言,适用于 电路高级建模,综合的效率和效果较好。
Verilog-HDL语言是一种低级的描述语言, 适用于描述门级电路,容易控制电路资源, 但其对系统的描述能力不如VHDL语言。
EDA技术与VHDL实用教程
12
(二)可编程逻辑器件 可编程逻辑器件(简称PLD)是一种由用户 编程来实现某种逻辑功能的新型逻辑器件。
EDA技术与VHDL实用教程
7
(3)开放式的设计环境(各厂家均适合);
(4)自顶向下的算法;
(5)丰富的元器件模块库; (6)具有较好的人机对话界面与标准的CAM接 口; (7)建立并行设计工程框架结构的集成化设计 环境,以适应当今ASIC的特点:规模大而复 杂,数字与模拟电路并存,硬件与软件并存, 产品上市更新快。
Expert LEVER
Actel
EDA技术与VHDL实用教程
IspLSI、pLSI、 MACH等
SX系列、MX系列
逻辑图、VHDL文本等 逻辑图、VHDL文本等
16
Actel Designer
EDA与传统电子设计方法的比较
手工设计方法的缺点是: 1)复杂电路的设计、调试十分 困难。 2)如果某一过程存在错误,查 找和修改十分不便。 3)设计过程中产生大量文档, 不易管理。 4)对于集成电路设计而言,设 计实现过程与具体生产工艺直 接相关,因此可移植性差。 5)只有在设计出样机或生产出 芯片后才能进行实测。 EDA技术有很大不同: 1)采用硬件描述语言作为设计输入。 2)库(Library)的引入。 3)设计文档的管理。 4)强大的系统建模、电路仿真功能。 5)具有自主知识产权。 6)开发技术的标准化、规范化以及IP 核的可利用性。 7)适用于高效率大规模系统设计的自 顶向下设计方案。 8)全方位地利用计算机自动设计、仿 真和测试技术。 9)对设计者的硬件知识和硬件经验要 求低。 10)高速性能好。 11)纯硬件系统的高可靠性。
在512以下。(比如ALTERA的AX3000/5000/7000/9000
和CLASSIC系列)。
EDA技术与VHDL实用教程
31
– PLD适合用于设计译码等复杂组合逻辑。
PLD分解组合逻辑的功能很强。而FPGA的一个LUT 只能处理4输入的组合逻辑。 – 如果设计中使用到大量触发器,那么使用FPGA就 是一个很好选择。
编程器/下载电缆 编程、下载
功能仿真 时序仿真
门级 仿真器
33
1.源程序的编辑和编译
–常用的源程序输入方式有三种:
(1) 原理图输入方式:
(2) 状态图输入方式:
EDA技术与VHDL实用教程 20
<<EDA技术与VHDL实用教程>>
第1章
EDA技术概述
21
要求
熟悉面向FPGA/CPLD的EDA技术初步理论知识
知识点
理解可编程逻辑器件 掌握面向FPGA/CPLD的EDA设计流程 掌握面向FPGA/CPLD的常用EDA工具 理解硬件描述语言
重点和难点
FPGA的制造工艺确定了FPGA芯片中包含的LUT和触
发器的数量非常多,往往都是几千上万,PLD一般
只能做到512个逻辑单元,而且如果用芯片价格除
以逻辑单元数量,FPGA的平均逻辑单元成本大大低 于PLD。
EDA技术与VHDL实用教程 32
文本编辑器
图形编辑器 VHDL 源程序
1.2 面向 FPGA/CPLD的
在广义的EDA技术中,CAA技术和 PCB-CAD技术不具备逻辑综合和逻辑适配 的功能,因此它并不能称为真正意义上的 EDA技术。
EDA技术与VHDL实用教程 4
狭义的EDA技术,就是以大规模可编 程逻辑器件为设计载体,以硬件描述语言 为系统逻辑描述的主要表达方式,以计算 机、大规模可编程逻辑器件的开发软件及 实验开发系统为设计开发工具的EDA技 术。
EDA技术与VHDL实用教程
15
表0-1 EDA开发软件特性
厂商 EDA软件名称 MAX+plusⅡ Altera QuartusⅡ Alliance Xilinx Foundation Synario Lattice XC系列 MACH GAL、ispLSI、 逻辑图、ABEL文本、 pLSI等 VHDL文本等 MAX、FLEX、APEX等 Xilinx各种系列 逻辑图、VHDL文本等 软件适用器件系列 MAX、FLEX等 软件支持的描述方式 逻辑图、波形图、 AHDL文本、VerilogHDL文本、VHDL文本 等
相关文档
最新文档