利用VHDL实现(2,1,2)卷积码编码

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

专业课程设计报告题目:利用VHDL实现(2,1,2)卷积码编码

姓名:

专业:通信工程

班级学号:

同组人:

指导教师:

南昌航空大学信息工程学院

20 17 年6 月27 日

专业课程设计任务书2016-2017学年第 2 学期第 17 周- 19 周

摘要

在现代数字通信中,为降低数据传输的误码率,提高通信质量及其可靠性,常在通信中采用纠错编码技术。其中卷积码就是一种具有较强纠错能力的纠错码。由于Vitebrbi译码算法比较容易实现,卷积码得到了广泛应用。本课题简明地介绍了用EDA技术实现卷积码编码器的实现。

卷积码纠错性能常常优于分组码,是一种性能优越的信道编码。由于码字之间的相关性,其编码器要利用移位寄存器来存储状态。随着纠错编码理论研究的不断深入,卷积码的实际应用越来越广泛。卷积码作为通信系统中重要的编码方式,以其良好的编码性能,合理的译码方式,被广泛应用。本文在阐述卷积码编码器基本工作原理的基础上,给出了(2,1,2)卷积编码器的VHDL设计,在QuartusⅡ环境下进行了波形功能仿真,并下载到EP1C6T144C8N芯片上进行验证,最终实现输入四位序列,编码输出八位通过指示灯显示。

关键词:卷积码QuartusⅡEP1C6T144C8N

目录:

摘要 (4)

目录: (5)

第一章系统设计要求 (6)

1.1系统设计要求 (6)

第二章系统组成与工作原理 (6)

2.1系统组成 (6)

2.2编码器设计原理 (6)

2.2.1结构图法描述编码器 (7)

2.2.2(2,1,2)卷积码的状态转移图 (8)

第三章编码器设计方案与对比选择 (9)

第四章 VHDL语言实现及仿真调试 (10)

4.1编码器电路设计 (10)

4.2VHDL描述编码器 (11)

4.2调试 (12)

第五章 FPGA编程下载 (15)

第六章实验心得 (16)

参考文献 (17)

第一章系统设计要求

1.1系统设计要求

1.设计一个(2,1,2)卷积码编码器。

2.在FPGA上用VHDL硬件描述语言实现上述编码器和译码器。

3. 通在试验箱上过拨码开关输入信息序列,观察编码输出(即指示灯的亮灭)

第二章系统组成与工作原理

2.1系统组成

2.2编码器设计原理

卷积码也是分组码,但它的监督码元不仅与本组的信息码(k位)有关,而且还与前面若干组(m组)的信息码元有关。用(n,k,m)表示。卷积编码的原理框:

图2-1 图图图图图图图

卷积编码的编码约束长度定义为:串行输入比特通过编码其所需的移位次数,它表示编码过程中相互约束的分支码数,所以具有m级移位寄存器的编码器得约束长度为m十1,有时也说(m十1)n为卷积编码的编码约束长度。

与分组编码一样,卷积编码的编码效率也定义为R=k/n,与分组码具有固定码长n不同,卷积码没有,我们可通过周期性地截断来获得分组长度。

为了达到清空编码移位寄存器数据bit的目的,需要在输入数据序列末尾附加若干0bit。由于附加的0不包含任何信息,因而,有效编码效率降至k/n以下,如果截断周期取值较大,则有效编码效率会逼近k/n。

2.2.1结构图法描述编码器

卷积码编码器主要由移位寄存器和模2加法器组成,(2,1,2)卷积码编码器结构图如下:

图2-2-1 图2,1,2图图图图图图图图图图

2.2.2(2,1,2)卷积码的状态转移图

该状态图描述了编码器每输入一个信息元时,编码器各可能状态以及伴随状态的转移所产生的分支码字。

图2-2-2 (2,1,2)卷积编码器状态转移图

图中的小框表示寄存器的状态,连接小框的箭头表示状态转移的方向,两线旁的数字表示:输出分支码字/输入信息比特。状态图简明的表示了在某一时刻编码器的输入比特和输出分支码字的关系。

2.2.3(2,1,2)卷积码的生成多项式

●卷积码编码器第i 条支路的生成多项式

g ()i (D)= ()()()2()012...i i i i k k g g D g D g D ++++,

对于(2,1,2)卷积码其生成多项式为:

g

(1)(D)=1+D+2D , g (2)(D)=1+2D ,

信息序列a=(0121,,,...,N a a a a -)也可表示为a(D)= 210121...N N a a D a D a D --++++,

相应的第i 条路径的输出为

()()()()()i i v D g D a D =,输出序列可根据v(D)= g (1)(D)

a(D)与g

(2)(D) a(D) 交织求得。

● 该(2,1,2)卷积码,一位输入有两位输出,两位都是检验位,信息位被隐藏。 生成多项式为 g1=x ²+x+1 g2=x ²+1

生成矩阵为G=[1

1100101100110110000000111000011

] 生成矩阵每一行都是码字,即分别是1000,0100,0010,0001四种输入的编码输出,输入其他任意四位序列,其编码输出可以由对应行模2加得到。 以输入序列1101为例,其编码过程如下:

输入:1101=1000⊕0100⊕0001

输出: 11101000=11101100⊕00111011⊕00000011

第三章 编码器设计方案与对比选择 根据前面对卷积码的描述,实现(2,1,2)编码器主要采用以下两种方案

●方案一:(2,1,2)卷积码总共只有四种状态,对其采用二进制编码00,01,10,11,任意时刻输入0/1,其输出都在四种状态间跳转。利用编码后的状态作为输出显示。

相关文档
最新文档