实训2:三人表决器设计

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实训2:三人表决器设计(基于FPGA)

实训任务:

利用美国Altera公司的FPGA芯片和开发软件QuartusII,设计三人表决器电路,并完成软件仿真和硬件验证。

实训目的:

1、强化数字电路设计方法;

2、掌握QuartusII的FPGA设计流程。

一、三人表决器电路设计

1、三人表决器功能

表决器(voter),是一种代表投票或举手表决的表决装置。表决时,与会的有关人员只要按动各自表决器上“赞成”或“反对”的按钮,荧光屏上即显示出表决结果。

A、B、C3人表决,“1”表示“赞成”,“0”表示“反对”,若有2人或以上人数赞成,则输出端Y为“1”表示“通过”,否则Y为“0”表示“不通过”。

2、真值表

3、根据真值表画卡诺图

4、根据卡诺图写出逻辑表达式

L=AB+BC+AC

5、根据逻辑表达式画出逻辑图

二、使用QuartusII实现三人表决器

1、建立工作库文件夹voter3

2、用向导创建工程:File→New Preject Wizard

3、新建原理图源文件;File→New→Block Diagram/Schematic File

4、绘制三人表决器电路

5、编译工程

6、编辑引脚

7、器件编程,写出方法及遇到的问题

1).连接USB-Blaster到计算机与开发板

2).将开发板插上电源

3).查看电脑的驱动是否正常安装

4).选择工具栏中Tools中的programmer,下载方式为JTAG,将USB-Blaster 添加到下载器硬件

5).将文件添加到编程文件,下载编程

8、硬件功能验证

相关文档
最新文档