Circuit Optimization Using Carry–Save–Adder Cells
phy_design_interview
CONSTRAINTSDo you know synthesis and timing constraints?Yes.Explain one each?Synthesis is the process to translate verified RTL code to a logical equivalent logic netlist for a targeted tech library. A synthesis script includes the following data/filesRTL + timing library + timing constraints + syn directives => netlistTiming constraints are the set of constraints in order for the chip to meet certain timing goal. It often uses the following constructs:create_clock: create a clock and specify clock root pin, period, clock duty cycle, arrival time, uncertaintycreate_generated_clock :set_load: output load cap(seldom use)set_driver_cell: driver cell strength to the input of this block(seldom use)Explain input and output delays?Set_input_delay: input arrives after the specified time period with respect to a clock Example: set_input_delay 4 -clock "usbclk" [get_ports {USB_data[0]}]Set_output_delay: output leaves after the specified time period with respect to a clock Example: set_output_delay 30 -clock "smci_clk" [get_ports {nSMCI_RST}]Explain multicycle and false paths?1) Set_multicycle_path: specify the path between 2 flops can be finished in multiple cycles of the specified clock.Example1: set_multicycle_path 2 –setup -from [get_clocks {hclk}] -to [get_clocks {aclk}] Example 2: set_multicycle_path 1 -hold –end -from [get_clocks {hclk}] -to [get_clocks {aclk}]Example 3: set_multicycle_path 2 -setup –start -from [get_pins{core_i/clkcntl/i_hclken_reg/CK}] -to [get_clocks {aclk}]Example 4: set_multicycle_path 1 –hold -from [get_pins{core_i/clkcntl/i_hclken_reg/CK}] -to [get_clocks {aclk}]2) set_false_pathpath needed not be checked for timing. It is often used in asyn signal such as reset and signals between 2 clock domains.Example: set_false_path -from [get_clocks {ETH_TX_CLK}] -to [get_clocks {aclk}] What is case analysis?Specify the mux selection path needed to be optimizedset_case_analysis 1 [get_pins {dsp/clkenb}]SYNTHESISExplain a typical synthesis script/flow?1) RTL code development from specification2) RTL code logic verification (testbench against a golden model usually in a higher level of language)3) Synthesis the verified RTL code with timing constraints, synthesis directives to a target technology/IP library. The result is gate level netlist; formal verification of the netlist against the RTL code4) STA5) yes, DFT6) no, fix timing violation , STA and DFTWhat is a generic netlist?library independent netlistrtl -> z = e & f ;generic netlist -> and U1 (.A(e) .B(f) .x(z))"and" here is a technology independent generic and gate.mapped netlist -> SDN_AND21_4 U1 (.A(a), .B(f) .X(Z))SDN_AND21_4 is an and gate from the target library, in this case viragethe flow is rtl -> generic netlist -> mapped netlistonly during mapping you need a library. all generic libararies come with the synthesis tool.What is mapping?Map the generic netlist to target technology based netlistWhat are the pros and cons of POS and SOP?POS: product of sumSOP: sum of productArea vs. timingHow do you get routing estimates in Synthesis?Use wire load model(WLM)What are the problems of Wire Load Models?The wire load is statistic load and the synthesized gate netlist is based on these statistic load. When gate delay dominates, WLM is a good estimate and timing closure is predictable. While in deep submicro (0.18um and below), since wire delay dominates, WLM is not a good estimate and timing closure based solely on WLM is very hard. Solution: physical synthesis and silicon virtual prototyping to better represent the actual wire.What is scan chain stitching?yes. It is the process of converting all flops in the design to scannable flops and hooking up Q->SI and inserting muxes at the end of each chain etc.or in other words test synthesisWhat is datapath synthesis?regular synthesis - control logic rtl -> gatesdatapath synthesis -> arthemetic logic rtl -> gatesinput a [32:0]input b [32:0]z = a + babove will become a ripple carry adder if done by regular synthesis.datapath synthesis will choose from Carry Save, Carrylookahead, Carry Select, ripple etc.and give smaller or faster designssamething with booth multiplier etc.How does the tool identify datapath objects?if Ambit(cadence) or RC (cadence) compileranytime the following operators are used, it triggers datapath synthesis for those modules.<<< >>> + * / and othersi.e. your rtl can have the above and the same do_optimize command will automatically partition you design into datapath and control logic. and during the whole optimization flow it switches between regular and datapath depending on where the timing problem is. i.e. one engine. which is timing concious.and since rtl can be simulated. and a single module can have both arthmetic and logic functions.the way it triggres is as soon as it sees any of those operators it creates a new hier. called AWDP_ with that logic inthere. of course 100's of swithces to control.if synopsys.you have to write your arthmetic logic in module (manual partitioning) using module compiler language (MCL)give this to the tool called module compiler. get the netlist. and instantiate it in your regular rtl. and use regular synthesis from now on.manual hier partitioning.learn new language(MCL)new toola single module cannot have both control and data.not timing conscious as timing constraints cannot be read into MCLduring optimization you can only use regular synthesis to fix datapath problems.no simulations etc are possible as MCL is not accepted by any simulator.Why is datapath synthesis needed?Because datapath is often timing critical path, the synthesis result directly affects timing closure.Name some optimization algorithms?the question should be more like the techniques/optimizations during meeting timingfast buffer insertion,constant propagationredundancy removalcontext based component swapping. (based on timing, a ripple carry adder is swapped with carry save to meet timing)restructuringmapping (to target technology from generic netlist based on area or timing) boundary optimizationetc.What is design rule fixing in synthesis?Fanout, fanin, transition time, hold. Syn tool tries to meet these constraints.What do you do for very large fanout nets?Insert buffer and create buffer treesmake sure they are not inserted during synthesis.backend should take care of that.in FE4.2 no need to use clocktree etc to build buffer trees.regular optimization will take of these automatically for you.it is important to make sure synthesis did not already build themif it did you have to remove either in synthesis remove_buffer_tree or in FE What is formal verification?Without providing testbench and running simulation, mathematically prove 2 logic implementation (such as RTL vs gate level netlist) is logic equivalentTIMINGWhat is the difference between static and dynamic timing analysis?Static dynamicInput constraint input stimuli to exercise the critical path Netlist gate level netlist, gate transistor leveltiming is pre-characterizedaccuracy less accuracy due to limited timing most accuratetablecoverage through and all paths only good if the input stimuli run time short longcircuit type static CMOS any type(static CMOS, NMOS, domino etc)What is PVT or operating conditions?PVT: process corner, operation voltage and temperatureHow are rise/fall transitions calculated?Rise = 0.9 * high level – 0.1 * low levelFall = 0.1 * low level – 0.9 * high levelHow do you measure propagation delay?Give input stimuli and measure 50% transition time from input change to the output changeWhat are timing tables?For a pre-characterized cell, the output delay, output slew, setup and hold, power etc is calculated as in a matrix or one row form for the given sets of value of related inputs. the related table headings areinput slew and output loadExplain dependencies of delay and output slew of comb cells?nope.output slew depends on input slew and output load.propagation delay thru the cell depends on input slew and output loadin reality they depend onoutput slew depends on1) voltage for the particular instance as all the instances in the design will not have the same voltage2) input slew3) resistance network at the output not lumped4) capacitive network at the output no lumpedi.e. the exact rc network at the outputpropagation delay depends onsame as aboveExplain timing dependency tables of flops?same as above +input clock slewCan a cell have negative delays?a standalone cell can have. in reality you may see them.if input slew is very very slow say 10ns.logic0 at 0nslogic1 at 10nsslew measured say 0-100% of VDD is 10nsoutput slew is very fast say 1ns.logic0 at 1nslogic1 at 2nsslew measured as say 0-100% of vdd which is 2ns-1ns = 1nspropagation delay measured as 50% of input to 50% of output.50% of input is 5ns50% of output is 1.5nsthe delay is -ve 3.5this is just a silly question.How to fix timing violations?Set up timing1) check with logic designer whether it is real or non-real(such as multiple cycle or false path). If it is, add those constraints and rerun2) if it is real. Print out the violated timing path, find the cause. Depends on the design stage and the amount of violation: increase driver strength, IPO, modify floorplan to short the length of critical path interconnect, modify the RTL code, increase pipeline stage and re-synthesis.yes above and detailed info is below.to speed up a flop to flop path sayCLK->Q of first flopAND gate A->XOR gate B->X .....each gate can be faster by(1) reducing the output load (from the above timign table discussion)(2) by increasing the input slew. i.e improve the previous gate,load etc.in the above if AND gate load is reduces and gate output slew is the input slew for OR gate(3) choice of the gate looking at the timing tables (for the given input slew and output load, which has the prop. delaychoose the flop that has faster clock to q timechoose the flop that has lower setup requirementHoldGenerally hold is fixed after setup is met after route. Add delay cell. Be sure to leave some space when floor planning to insert delay cellPLACEWhat constitutes Floorplan?Die size/aspect ratio, IO placement, macro cell placement, power grid(power ring/power stripe, follow through pin)What is timing driven and congestion based placement?Both need to place the std cell in the row but the goal is different. TDD placement is to meet a certain time goal (generally a target clock frequency). Congestion based placement is to try to minimize the routing congestion to reduce DRC violations.What are rows?Area except IO, macro. The remaining area is divided into the same height row as the std cell to place std cell.Why should there be space around RAMS or IO?RAM space: create local power ring for RAMIO space : chip power ringWhat are bins and min/max ratio cut algorithms?design is usually broken in to bins or regions or windows and the placer tool chooses which cells should go into eachbin using min/max algorithm.maximize wiring inside each binminimize wiring between each bin.say bin A has 500 cells and 2000 internal wiresand bin B has 490 cells and 1900 wiresA andB has 1000 wiresmove one cell from A to B and see if the wires inside A, B are increasedand wiring between A and B is reduced. if so then move the cell to that bin.these are quiet old. i dont know what amoeba uses. but the above is the general guideline for any floorplan.you dont want too many wires between bins/regions/groups etc.you want too many wires withing one group.i.e. increase local congestiondecrease global congestion. otherwise you will never meet timing and cannot route.same way as the roads.the highway road from Dallas to Newyork is more important the local roads inside newyork and dallas.if the highway is not proper you loose more time.What are soft groups, regions and techniques of grouping?Soft groups: loosely coupled logic group. Placement tool tends to put them in closer area Region: all elements in that region must be placed in a pre-defined physical location. But other elements can also be placed in the regionWhat is scan chain reordering?After placement, reconnect the scan chain flop to minimize the routingWhat is placement-based optimization?place the std cell based on either timing constraints to meet timing goal or reducing congestion or minimizing area or combination of above.CTGENWhat is a clock tree? How does the tool know where to stop?Clock root from I/O pin or clock generator, clock sinks generally clock pin of flop and the buffer tree to connect the root and all the sinks.Stop at the clock pin of flopExplain the clock tree constraints in the given example?min, max, skew etcCan you build a clock tree through EXOR?Yes. Connect clock to one input and set the other input as a DC 1. In that case, EXOR is basically an buffer.What if Clock Tree Circuits have combinational feedback loops?Maybe oscillateCan you build trees through muxes?Yes. set the select signal always to select clock input.In multiple clock domain design, what are the problems of adverse insertion delays? setup could become impossible to meet.CLKA and CLKB have diff. insertion delays. if adverse and they both have relationship with each other (i.e. not false paths)then setup can never be met.hold will also be a huge problemROUTEWhat is routing grid?The pre-defined routing spacing for each layer of metal. Router will route the metal layer on grid onlyWhat are vias and stacked vias? Draw cross section.Via is vertical metal connecting two adjacent layers of metalStacked vias: more one layer of via directly on top of each other connecting more than 2 layers of metalHow to calculate routing grid?Check layout DRC ruleGrid = metal pitch = min metal width + min spacingWhat will happen if a pin is offgrid?Pin will not be hit or partially covered by a routing metalWhat will happen if you put standard cells below power stripes?That will block the power stripe metal layer routing access to that cell.DRCDraw the layout of an inverter?EasyWhat is manufacturing grid?Minimum manufacturing step. All layout objects must be on this gridDescribe any Design rule you can think of?Metal spacing, stack via, via spacing, poly gate encap etcWhat are process antennas?Transistor gate, source/drain connect to metal. Metal has charge. When the charge accumulates to certain amount, it will break down the gate oxide. So there is restriction on the area of metal with which a particular gate can connect.Prevention and fix: route such that not to exceed that ratio defined at DRC rule; add diode to sink the charge to ground if exceedingLVSDescribe a general LVS flow.1) stream out GDS with sub-module GDS merged2) combine sub-module transistor level netlist to obtain the top level the transistor level netlist3) obtain the golden LVS deck for the certain process4) run LVS using a physical verification tool such as Assura, Hercules or CalibreHow to get Layout and How to get the Schematic?Layout: after placement and route, merge the marco GDS, IO GDS, std cell GDS and stream out as a whole GDSSchematic: combine the sub-module transistor level netlist(provided by IP vendor) to form the top level transistor level netlistSCRIPTINGDo you know the tee command?YesDescribe any interesting unix command you know.grep, awk etcDo you know glob function in perl?No since Encounter is TCL based. Easy to learnCADENCEFlexible hours and days and work from home.Easy access to experts willing to help.Narendra’s experiencertl - netlist (synthesis - you can change a lot here)floorplan - can change a lot hereipo - still can change a lot but not more than 15% of cells. keep an eye on cell count and make sure you are still ok routingwisecksynthesis - keep an eye on buffers etc.ipo - can change but not 15% or so. it should be 5% or less. as the more you add the more you disturb clockroutesetup/drv ipo 1%hold fix 5% addedceltic bc 50 cellsceltic wc 10 cellsdoneas the design progress the more you change the more you change the clock, the routes etc.SYNOPSYSHow do control the area of your design ?First of all, there are 2 types of design: pad constraint and core constraintFor pad constraint design, the area is controlled by the IO pad. It has nothing to do with core area (enough for core)For core constraint design, after selecting IP, the parameter you can play with is area utilization. Low AU design is big but easy to minimize congestion, easy clean DRC and less coupling but high cost since low yield due to bigger areaHigh AU design is small where congestion, DRC and coupling are problems. But the yield is high 70% STD AREA UTILIZATION. CELL AREA UTILIZATION IS A GOOD NUMBER 85 AND ABOVE MIGHT CAUSE TIMINGCLOSURE/ROUTINGCLOSURE AND CELTIC CLOSURE ISSUES.LESS THAN 50 MIGHT CAUSE TIMING CLOSURE ISSUES.How do you minimize the congestion ? Especially localized congestion ?Do congestion based placement/area utilization. Better macro placement, power grid design FLOORPLAN IS THE BIGGEST KEY TO TIMING/CONGESTION/SIMOST OFTEN GOOD DESIGN CONVERGE IN ALL UNLIKE THE POPULAR BELIEF (MY PERSONAL EXPERIENCE)GOOD FLOORPLAN YIELDS TO GOOD TIMING CONGESTION NOISE ETC. YOU CANNOT MAKE ONE WORK AND NOT THE OTHER. THERE IS NO POINT IN CLOSING CONGESTION WHEN TIMING IS BAD OR VICE VERSA.YOU CAN PUT A SCREEN DENSITY (UTIL % PER AREA) ON ALL SENSITIVE AREAS TO REDUCE LOCAL CONGESTION.AGAIN REMEMBER FLOORPLAN IS THE KEY.TOOLS ALSO ARE VERY SENSITIVE TO USER CONSTRAINTS SUCH ASREGIONS/GROUPS/DENSITY AREAS. THE MORE THEY ARE THE POORER THE PERFORMANCE.IF YOU DO WANT TO CONTROL. ITS ALSO A GOOD IDEA TO PLACE CRITICAL CELLS BY HAND AND FIX THEM OR FIRST PLACE THEM THRU A TIGHT REGION CONSTRAINT. FIX THEM AND START AGAIN ONLY WITH THESE FIXED CELLS (NO MORE REGIONS) AND THEN PLACE THE REST OF THE DESIGNWhat are the three parameters associated with design ?Timing, power and costHow do you fix setup and hold violations ?Setup: speed up the logic between regs, floor plan, minimize skews, RTL code changeHold: insert delay cell and minimize skewsWhich part cannot be fixed after chip is taped out ? Setup or hold and why ?Hold since it is independent on clock frequencyHow do you find out how many flops you need during early stage of the design ? FRONTEND ALREADY DECIDES THIS. EACH ALWAYS STATEMENT IN RTL CORRESPONDS TO A FLOP.What are the physical libraries? How do you build them?LEF(size, pin location, pin layer metal, metal obstruction) used for placement and route & GDS. GDS: stream out from layoutLEF: use abstract generator to generate it from GDS/layoutLEF FOR STD CELLS AND MEMORIES COME LIBRARY VENDOR.LEF ALSO HAS A TECHNOLOGY SECTION THAT HAS ROUTING RULES (VERY CRITICAL) THAT DESCRIBES SPACING,VIA STACKING RULES ETC. THIS TECHNOLOGY SECTION IS THE ONE WE (CADENCE) OPTIMIZES FOR THE ROUTER ETC.USUALLY NOWADAYS TSMC IS GIVING DECENT TECHNOLOGY LEFS SO NO ISSUE THERE.GDS IS ALSO GIVEN BY LIBRARY VENDORBLOCK LEF SUCH AS DSP/ARM ETC THAT ARE IN YOUR DESIGN ARE GENERATED BY FE. lefOut COMMAND IF BOTTOM-UP APPROACH.IN TOPDOWN. WHEN YOU COMMIT A PARTITION THE TOOL AUTOMATICALLY GENERATES, LEF, FP TIME BUDGETED SDC ETC.What is Physical Synthesis ? How it works ?Instead relying on WLM, it takes into consideration of actual placement info to better representthe wire length to get the netlistIt needs1) RTL2) timing constraint3) floorplan info4) cell physical infoALL IPO IN FE ARE PHYSICAL SYNTHESIS.EARLIER IPO ONLY USED TO BE BUFFER INSERTION/DELETION/UPSIZE, DOWNSIZE AND SOME ADVANCED CAN DO CLONING ALSO.THE NEWER ONCE SUCH AS FE IPO ETC. HAVE ALL THE CAPABILITIES OF A SYNTHESIS ENGINE SUCH ASRESTRUCTURING, BOOLEAN OPTIMIZATION, BUFFER TREE INSERTION (LIKE CTS), MAPPING, REDUNDANCY REMOVAL, CONSTANT PROPAGATION AND EVERYTHING A SYNTHESIS CAN DO.IT DOES NOT WORK ON RTL. AS IPO DOES NOT START UNLESS THE DESIGN IS PLACED AND TO PLACE A DESIGN YOU CANNOT PLACE AN RTL DESIGN.IT NEEDS THE DESIGN FLOORPLANED AND PLACED. PHYSICAL INFO OBVIOUSLYWhat is process antenna? How do you fix them ?Transistor gate, source/drain connect to metal. Metal has charge. When the charge accumulates to certain amount, it will break down the gate oxide. So there is restriction on the area of metal with which a particular gate can connect.Prevention and fix: route such that not to exceed that ratio defined at DRC rule; add diode to sink the charge to ground if exceedingExplain how LVS work.1) stream out GDS with instances GDS merged2) combine instance transistor level netlist to obtain the top level the transistor level netlist3) obtain the golden LVS deck for the certain process4) run LVS using a physical verification tool such as Assura, Hercules or Calibre5) check report and debug(if any)(1) generate noise characterized libraries (cdb - celtic db) for all library components.read in spice of all cells and gds (no information lost as no models used)run spice simulationsand generate noise libraries.(2)for memories since spice/gds are too big to run spice simulations some approximation is done in generating cdbsRUNNING CELTIC on the design(3) generate spef with cross coupling capacitance. i.e. capacitance of each net with respect to all the nets in the vicinity.(4) run timing analysis and generate switching windows file (twf - timing windows file)(5) noise threshold set at 20% of VDD - default -(6) assume netA is at 0 and all other nets around it are switching to 1. the timing intervals and slews are obtained from twf.then, netA might see a glitch i.e. switch to 1.if the glitch is more than noise threshold (20% of VDD) then(a) propagate the glitch thru the gates and see if the glitch attenuates until it reaches a flop(b) if it reaches a flop and glitch is still present(c) does spice simulation on that flop and if Q also sees the glitch at the time, then you have a glitch viol.(7) noise on delay.assume neighboring wires are switching in the same direction(opposite for setup) as netA could have a faster transition this is recorded in the incremental sdf。
XFdtd用户手册说明书
XFdtd:Electromagnetic Simulation SoftwareElectromagnetic Simulation Solutions for Design Engineers andEM ProfessionalsRemcom provides innovative electromagnetic simulation soft ware and consulting services.Our products simplify the analysis of complex EM problems and lead the market in FDTD-based modeling and simulation.Cell phone antenna design, MRI coil analysis, antenna placement on vehicles and airplanes, and placement of wireless communication systems are made easier with Remcom’s EM simulation soft ware and expertise.Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3Powerful Flexible Modeling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4Simplifi ed Workfl ow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5Fast, Intelligent Meshing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6XACT Accurate Cell Technology. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7Results & Output. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8Circuit Element Optimizer (CEO) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9Parameters Everywhere . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10Custom Scripted Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11High-Performance Computing Options . . . . . . . . . . . . . . . . . . . . . . . . . 12XStream GPU Acceleration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13Why Use the FDTD Method? . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14Specifi cations & Versions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15N T C O E N T S3Save Time and Streamline Your WorkAs an FDTD simulation solver, XFdtd outpaces other methods in effi ciency as the number of unknowns increases. Learn more on page 14.Key bene fi ts of XFdtd 3D EM Simulation Software include:•Circuit Element Optimizer determines optimal values for lumped circuit elements connected directly into the EM simulation mesh.•PrOGrid Project Optimized Gridding® simplifi es grid creation by considering multiple aspects of a project to optimize the grid for both accuracy and runtime.•XStream® GPU Acceleration for CPUs and GPU clusters enables calculations to fi nish in minutes as compared to hours. •Unlimited Memory support for problems exceeding 60 GB and billions of cells.•XACT Accurate Cell Technology® resolves the most intricate designs with fewer computational resources.•CAD Merge seamlessly integrates new versions of CAD and PCB designs into existing projects.•XTend Script Library automates modeling and design with pre-loaded, customizable scripts for creating custom features.• Guided modeling processes, editable modeling history, ability to edit imported CAD fi les.•Intelligent, ultra-fast meshing expedites previewing of fi nished meshes prior to simulation.XFdtd ®: Fast and Accurate Has Never Been So Easy4Powerful Flexible ModelingSpend less time modeling and more time gett ing results.Whether you’re importing CAD databases or building your own models, the sophisticated modeling tools in XF will make your job easier. The modeling engine in XF allows you to build complicated models from the ground up or modify imported CAD fi les. This reduces the amount of time you spend modeling, leaving you more time to focus on your results.Key Features• 2D Sketcher with constraints: Intuitive grid/objectsnapping and a constraint system allow for quick creation of complex shapes.• Feature history for objects: Modeling operations are chained together on each object,creating an editable history for each model in your project.Importable CAD Formats• ODB++• SAT/SAB • DXF• VDA-FS • STEP • IGES• Pro/E • CATIAv4• CATIAv5• Inventor •STL5Simpli fi ed Work fl owXF streamlines your workfl ow by eliminating time-consuming, redundant tasks.XF multiplies your productivity by allowing you to reuse almost anything you create. Any project can be turned into a template, the parts of your project can be stored in a shared library, and your simulations are saved and the results easily accessed for comparison purposes.Key Features• Custom project templates • Simulation history with all results • Shared libraries• Shared component, sensor, and waveformdefi nitions• PCB Merge for importing layered PCBs in ODB++• CAD MergeSeamless Revisions with CAD MergeIf you work with frequently updated CAD fi les, you’ll only have to set up the hierarchy, materialassignments and meshing priority once. XF preserves this information each time a new version of the fi le is imported, keeping your workfl ow as effi cient as possible.XF’s Assistant is a step-by-step guidethat speeds the learning curve for new users.CAD Merge compares the new geometry with the original and automatically refreshes the project treewith the changes.Run SimulationAssignMaterials,Grid, and MeshSettingsI R STI TE R AT I ON6Fast, Intelligent MeshingXF makes it easier to generate more accurate and effi cient meshes with less work.XF allows you to see the fi nished mesh with materials before the simulation ever starts. This provides the confi dence that the simulation will not fail due to a meshing error. XF’s intelligent and ultra-fast mesh updating capabilities make this process even more seamless than before.PrOGrid Project Optimized GriddingAdditionally, XF’s Project Optimized Gridding algorithm, PrOGrid, streamlines the process of generating an effi cient grid. By considering a combination of geometry features, operating frequency, and material parameters, PrOGrid intelligently creates a grid that is optimized for high accuracy and short run times.PrOGrid Logic1. Guarantee cells per wavelengthin free space and in dielectrics where the wavelength is shorter2. Reduce cell size around curvedgeometry3. Apply boundary refi nement atthe edges of conductors where electric fi elds are strongest Identify geometric features likevertices [ ] and snap grid lines to them11237XACT Accurate Cell TechnologyAccurate meshing of curved geometry.With XF, there is no need to choose performance over accuracy. XACT mesh reduces simulation time while improving the accuracy of even the most intricate designs. Using an advanced sub-cellular conformal method, XF reduces computing resources while maintaining the accuracy of a full wave solver. Faster, more accurate simulations improve the throughput of your designs from start to fi nish.Key Features• Represents small gaps and curved surfaces • Increases accuracy of results• Signifi cantly improves simulation time by reducing unknownsComparisons show the dramatic improvement with XACT.Traditional FDTD Mesh XACT MeshResults & OutputComplete result history.XF was designed to support the way you work by keeping track of every simulation youdo for each project. Results from other projects or past simulations can be added to graphs, viewed in 3D, post-processed, or exported to text fi les. The Results Browser in XF is completely customizable, and fi ltering and searching tools make it easy to fi nd exactly whatyou’re looking for with a few clicks.Approximate MR image and transmit effi ciency visual output types.Visual Output• Planes, surfaces and volumes ofoutput shown with input geometry• E/H/B, conduction current,rotating B near fi elds, in additionto dissipated power density• 3D far fi eld patt erns of E, gain,realized gain, axial ratio, radarcross section• Hearing aid compatibility, SAR,MR transmit effi ciency, andapproximate MR image outputs• Biological temperature riseGraphical Output• Near zone fi elds/currents vs. time• Impedance, S-Parameters vs.frequency, VSWR, active VSWR• Polar plot antenna patt erns• Smith chart impedance plots• FFT of transient results• Group Delay output type• Time Domain Refl ectometry (TDR)and Time Domain Transmission (TDT)output types• Dissipated Power Density9Design Flow with CEO1. Set up the XF project including copper traces,component locations, materials, grid, etc.2. Create a Response Matrix which uses FDTDsimulations to characterize fi eld interactions aff ecting the components.3. Perform a Circuit Optimization that usesS-Parameter and/or effi ciency goals to select the optimal set of component values.4. Verify that the matching network or fi lterperforms as desired with the selectedcomponent values.124Circuit Element Optimizer (CEO)Determine component values for Full-Wave Matching Circuit Optimization (FW-MCO).Circuit Element Optimization is a new technology that is only available in XF – no other electromagnetic simulation tool off ers it. It is unique because of its ability to considerelectromagnetic fi eld interactions between the components and the surrounding environment. This makes it easier than ever to fi nd the optimal set of components and achieve the desired performance with a matching network or fi lter.3S11 Threshold GPS: -6 dBBluetooth: -15 dBComponent ValuesL: 1 nH to 10 nH C: 1pF to 10 pFC1L1L20.2 pF 1.7 nH 0.6 nH10Parameters EverywhereXF helps you find the optimal solution.In XF, parameters are part of the DNA of a project. Parts, components, waveforms, materials and just about everything else in your project can leverage the power of parameters. It’s simple enough for anyone to use, but with advanced capabilities that will make any power user happy.Key Features• Defi ne nearly any value as a parameter, such as the length of a part or the frequency ofa simulation• Mathematical expressions using parameters• Interface with scripts for parameter evaluationEntire assemblies based on the same parameter can be modifi ed by changing one value. Since parameters can be used almost anywhere in XF, you can automate more things and gaincomplete control of your projects.11Custom Scripted FeaturesXF allows you to create your own custom features with a powerful scripting API.With XF, the power is in your hands to create time-saving, custom features that allow you to work faster. Nearly everything in the application can be controlled and accessed through a powerful scripting API. Whether you’re writing custom dialogs or designing custom optimization routines, the scripting API in XF breaks down the walls between what you have and what you need.Key Features• Full-featured Script Editor • Custom dialog creation through scripts• Access to Result DataThe XTend Script Library helps adapt XF to your unique processes to extend the functionality of the tool. The scripts packaged with the application are available for you to modify and fi t to your own needs. Remcom’s support team is also available to customize scripts for your specifi c use; contact Remcom for a quote.12High-Performance Computing Options for Every UserI mprove EM simulation performance using the most modern high-performance computing technologies available.Remcom’s industry-leading EM acceleration is a powerful tool to shorten your developmenttime and release your products to market sooner.Message Passing Interface (MPI) Technology for CPU and GPU ClustersDistributing XF calculations among CPU and/or GPU clusters creates limitless potential.Unlimited Memory SupportNo memory limits! Simulate massive problems exceeding billions of cells.Multiprocessor TechnologyXF calculations are parallelized across all available processors within your computer, greatly speeding calculations.ᮣ See examples and learn more at /no-limits13XStream GPU AccelerationBuilt-in EM simulation acceleration via graphics processing units.XStream tremendously improves EM simulation performance by leveraging the powerful NVIDIA graphics processing units (GPUs) available in modern video cards to make ultra-fast FDTD numerical computations. Leveraging NVIDIA’s latest generation GPUs, XStream enables XF calculations to fi nish in minutes as compared to hours or even days using a CPU only.© 2014 Remcom Inc. All rights reserved.(8 cores)Intel Xeon E5-2670(16 cores)Intel Xeon E5-2670(1)NVIDIA M2090(2)NVIDIA M2090(4)NVIDIA M2090(6)NVIDIA M2090(8)NVIDIA M2090CPUs © 2014 Remcom Inc. All rights reserved.(8)NVIDIA M2090(4)NVIDIA M2090(2)NVIDIA M2090(1)NVIDIA M2090(12)NVIDIA M2090(16)NVIDIA M2090(20)NVIDIA M2090(24)NVIDIA M2090S i m u l a t i o n T h r o u g h p u t i n G i g a c e l l s p e r S e c o n dXFdtd ® Simulation Throughput Using MPI + XStream ® GPU Acceleration51015202530Number of GPUsThroughput Plot of XStream.Throughput Plot of MPI + XStream.14Why Use the FDTD Method?While many electromagnetic simulation techniques are applied in the frequency-domain, FDTD solves Maxwell’s equations in the time domain. This means that the calculation of the electromagnetic fi eld values progresses at discrete steps in time. One benefi t of the time domain approach is that it gives broadband output from a single execution of the program; however, the main reason for using the FDTD approach is the excellent scaling performance of the method as the problem size grows. As the number of unknowns increases, the FDTD approach quickly outpaces other methods in effi ciency.FDTD has also been identifi ed as the preferred method for performing electromagnetic simulations for biological eff ects from wireless devices [1]. The FDTD method has been shown to be the most effi cient approach and provides accurate results of the fi eld penetration into biological tissues.[1] C95.3.2002, Recommended Practice for Measurements and Computations with Respect to Human Exposure to Radio Frequency Electromagnetic Fields , 100kHz to 300GHz. IEEE Standards and Coordinating Committee 28 on Non-Ionizing Radiation Hazards, April 2002.Specifi cations & Versions15© 2016 Remcom Inc. All rights reserved.NVIDIA and CUDA are trademarks and/or registered trademarks of NVIDIA Corporation in the United States and other countries.XF7.5.1.5-0216Remcom, Inc.315 S. Allen St., Suite 416State College, PA 16801 USA +1.888.7.REMCOM (US/CAN)+1.814.861.1299 phone +1.814.861.1308 fax****************Visit for more information3MBAE Systems Cobham Dynetics Ericsson GEGeneral Motors Honda HoneywellIBM LGLockheed Martin Mitsubishi Nokia Samsung Siemens SonyTexas InstrumentsToshiba ToyotaU.S. Food and Drug Administration (FDA)United States Air Force United States Army United States Marines United States Navyᮣ See /customers for more.A Sampling of Our CustomersXFdtd ®: 3D EM simulation soft ware package that provides engineers with powerful and innovative tools for modeling and EM soft ware simulation.Wireless InSite ®: A radiopropagation analysis package for analyzing the impact of the physical environment on the performance of wireless communication systems.XGtd ®: A high frequency GTD/UTD based package for the design and analysis of antenna systems on complex objects such as vehicles and aircraft .Remcom has been leading the EM market with innovative simulation and wireless propagation tools for more than 20 years. Our family of products includes:。
ganhemt器件建模与高效率功率放大器研究
摘要微波功率放大器是无线通信系统的核心器件,随着通信系统的小型化、可靠性等需求进一步提高,高效率功率放大器成为新一代无线通信系统的瓶颈。
近年来,氮化镓(GaN)高电子迁移率晶体管(HEMT)以高频、高功率、高效率、耐高温等优势,成为国内外固态功率器件研究的热点。
大信号器件模型是电路优化设计的前提,在优化器件结构、提高功率放大器电路性能等方面具有重要意义。
因此,本文从模型出发,针对高效率功率放大器设计理论与方法进行了研究,主要内容包括:(1)Pi型网络E类功率放大器的理论研究。
针对微波晶体管输出寄生电感,导致传统E类功率放大器工作频率、带宽受限等问题,提出了pi型网络E类功率放大器拓扑。
推导出了该拓扑电流电压的解析表达式,给出了在最大负载、最大工作频率、并联谐振、二次谐波并联谐振等特殊情况下的波形,计算出了在宽带范围内的电流电压最大值和输出功率能力,并给出了pi型网络E类功率放大器负载网络的归一化元件值解析公式。
结果表明,pi型网络E类功率放大器相比传统拓扑结构具有设计灵活、输出功率能力高等优势,为提高功率放大器性能提供了参考。
(2)宽带pi型网络E类功率放大器研究。
针对pi型网络E类功率放大器设计理论与方法,研究了晶体管输出寄生串联电感对负载电阻、串联电抗、最大工作频率、负载电流初始相位的影响。
分析结果表明,优化输出串联电感值可以增加负载网络的带宽。
进一步分析了输出串联电感对负载网络的电导、电纳、负载的相位的变化规律,利用自建的微波GaN HEMT大信号等效电路模型,设计制作了S波段 pi型网络E类功率放大器,在2.5~3.5GHz(33.3%相对带宽)下,漏极效率为60%~69%,输出功率大于35.2dBm,拓宽了工作频率,且在高频宽带下,实现了高效率功放。
(3)宽带pi型网络EF3类功率放大器研究。
为进一步提高效率,在pi型网络E类功率放大器具有宽带特性的基础上,基于EF类功率放大器的原理,提出了一种pi型网络EF3类功率放大器。
AAnalyst800原子吸收光谱仪操作说明书[1]
分析前准备工作开机装灯关机开机1.操作前仔细阅读安全须知。
2.确证分光光度计及其他辅助仪器安装正确。
3.打开工作区排风系统。
4.接通空气压缩机电源,空气过滤器减压至约450KPa。
打开乙炔钢瓶(调节为90KPa)5. 打开惰性气体钢瓶并调节为350KPa。
6.接通冷却水i 如用循环冷却水系统,按揿其后板上开关ii 如用自来水冷却,流速调节为2.5升/分7.将主机后板上空气开关( circuit breaker)拨向ON的位置(记号为1)可将此开关一直在ON的位置上,而开机时用面板上ON/OFF开关8.接通计算机9.装灯(开机前必须已有一只空心阴极灯在灯架上)10.打开面板上ON/OFF开关(待空压机达到额定压力后,再开主机)11.开动AA Winlab,在AA Winlab图块上双击12.选择原子化器*如果需要的原子化器不在原子化器室内,则按以下步骤进行i确信石墨炉自动进样器已从原子化器室前旋转至旁边位置,雾化器管子没有接任何附件ⅱ确信空压机已接通ⅲ在File菜单上Change Technique单击ⅳ选择所需原子化器Flame—燃烧器系统Furnace—石墨炉ⅴ在OK上单击,显示Initializing New Technique注:在原子化器转变时,切勿将手或其他物品放在原子化器室内I. 装灯灯室位于分光光度计左侧有八个灯架空心阴极灯可以装在任何一个灯架上如装无极灯,则只能装在灯架1-4上无极灯插座在灯室左侧灯室内灯架II. 灯的安装和连接A. 空心阴极灯HCL注: 开机前必须已有一只空心阴极灯在灯架上1.打开灯室2.将Lumina灯小心地滑进灯架插头要全部滑进灯架上插座3.关上灯室盖子B. 无极放电灯EDL只能用灯架1-41.打开灯室1.将灯驱动器滑进套筒,当套筒滑入时,压住锁住栓,到位后,锁住栓会伸出套筒孔。
1.将灯滑进灯架,直至撞上灯架内的终止点。
1.将编码灯插头插入灯架上插座(灯架与插座须同号)。
基于关键路径与改进遗传算法的最佳占空比求解
基于关键路径与改进遗传算法的最佳占空比求解徐辉;李丹青;应健锋;李扬【摘要】纳米工艺下,负偏置温度不稳定性(NBTI)成为影响电路老化效应的主导因素.多输入向量控制(M-IVC)是缓解由于NBTI效应引起电路老化的有效方法,而M-IVC的关键是最佳占空比的求解.在充分考虑时序余量的设计与电路实际操作情况下,对电路采用了静态时序分析,精确定位电路中关键路径.对关键路径采用改进的自适应遗传算法求解最佳占空比.实验结果表明:在时序余量为5%时,电路的平均老化率相比现有方案降低了1.49%,平均相对改善率为18.29%.%Under the nanometer process,the negative bias temperature instability (NBTI) is the dominant factor affecting the aging effect of the circuit. Multi input vector control (M-IVC) is an effective method to mitigate the NBTI effect,and the key to M-IVC is the solution of the optimal duty cycle. After fully considering the original design of the time margin and the actual operation of the circuit,the static timing analysis is used to accurately locate the critical path in the circuit. The improved adaptive genetic algorithm is used to solve the critical path for the best duty cycle. The experimental results show that when the time margin is 5%,the average aging rate of the circuit is reduced by 1. 49% compared with the existing scheme,and the average relative improvement rate is 18. 29%.【期刊名称】《传感器与微系统》【年(卷),期】2017(036)010【总页数】5页(P124-128)【关键词】集成电路;老化效应;最佳占空比;负偏置温度不稳定性;多输入向量控制;遗传算法【作者】徐辉;李丹青;应健锋;李扬【作者单位】安徽理工大学计算机科学与工程学院,安徽淮南232001;安徽理工大学计算机科学与工程学院,安徽淮南232001;合肥工业大学电子科学与应用物理学院,安徽合肥230009;江苏商贸职业学院信息系,江苏南通226011【正文语种】中文【中图分类】TN407应集成电路的发展需求,晶体管的特征尺寸不断按比例缩放,使得负偏置温度不稳定性(negative bias temperature instability,NBTI)成为引起电路老化的主导因素[1]。
英语作文-集成电路设计中的优化算法与设计方法解析
英语作文-集成电路设计中的优化算法与设计方法解析In the field of integrated circuit design, optimization algorithms and design methods play a crucial role in improving the performance and efficiency of circuits. These algorithms and methods aim to minimize power consumption, maximize speed, and enhance reliability. In this article, we will analyze the various optimization algorithms and design methods used in integrated circuit design.One commonly used optimization algorithm in integrated circuit design is the Genetic Algorithm (GA). GA is inspired by the process of natural selection and evolution. It starts with an initial population of potential solutions and applies genetic operators such as selection, crossover, and mutation to generate new solutions. Through successive generations, the algorithm converges towards the optimal solution. GA has been successfully applied in various aspects of integrated circuit design, including floorplanning, placement, routing, and logic synthesis.Another widely used optimization algorithm is the Simulated Annealing (SA) algorithm. SA is based on the annealing process of cooling and slowly heating a material to reduce defects and improve its properties. In the context of integrated circuit design, SA starts with an initial solution and iteratively explores the solution space by accepting worse solutions with a certain probability. This allows the algorithm to escape local optima and converge towards a global optimum. SA has been applied to problems such as placement, routing, and timing optimization in integrated circuit design.In addition to optimization algorithms, design methods also play a crucial role in integrated circuit design. One commonly used design method is the Register-Transfer Level (RTL) design. RTL design focuses on capturing the behavior of a circuit using a hardware description language such as Verilog or VHDL. It allows designers to specify the functionality of the circuit at a higher level of abstraction before the actualimplementation. RTL design enables efficient circuit exploration and optimization before the physical design stage.Another important design method is High-Level Synthesis (HLS). HLS allows designers to describe the circuit's behavior using a high-level programming language such as C or C++. The HLS tool then automatically generates the corresponding hardware implementation. This design method enables designers to explore different architectural optimizations and trade-offs at a higher level of abstraction. HLS has been widely used in the design of digital signal processing circuits and complex system-on-chip designs.Furthermore, in the era of deep learning and artificial intelligence, optimization algorithms and design methods have also been applied to the design of specialized hardware for accelerating neural networks. Techniques such as neural architecture search, quantization, and pruning have been developed to optimize the performance and energy efficiency of neural network accelerators.In conclusion, optimization algorithms and design methods are essential in integrated circuit design. They enable designers to improve the performance, efficiency, and reliability of circuits. Genetic algorithms, simulated annealing, RTL design, and high-level synthesis are just a few examples of the many techniques used in integrated circuit design. As technology advances, new algorithms and methods will continue to emerge, pushing the boundaries of integrated circuit design further.。
cad_flows
BR 6/00
11
Formal Verification Categories
• Equivalence Checking – most widely used, easiest
– Use a mathematical approach to compare a reference design to a revised design (do two netlists implement the same boolean function?) – Reference design must be correct
IPO/Physical Hierarchy
Hale Waihona Puke BR 6/003“Timing Closure”
• “Timing Closure” refers to producing a design that meets timing specifications
– Want to verify that your design has timing closure before you fabricate
BR 6/00 10
Formal Verification
• Formal Verification means that mathematical techniques to prove that the hardware is correct as it progresses from one abstraction level to another (Behavioral to RTL to Gatelevel to Physical), etc. • Attraction is that circuit does not have to be simulated – no need for test vector generation
单分子综述-NATURE NANOTECHNOLOGY-Single-molecule junctions beyond electronic transport-2013
Stimulated by the initial proposal that molecules could be used as the functional building blocks in electronic devices 1, researchers around the world have been probing transport phenomena at the single-molecule level both experimentally and theoretically 2–11. Recent experimental advances include the demonstration of conductance switching 12–16, rectification 17–21, and illustrations on how quantum interference effects 22–26 play a critical role in the electronic properties of single metal–molecule–metal junctions. The focus of these experiments has been to both provide a fundamental understanding of transport phenomena in nanoscale devices as well as to demonstrate the engineering of functionality from rational chemical design in single-molecule junctions. Although so far there are no ‘molecular electronics’ devices manufactured commercially, basic research in this area has advanced significantly. Specifically, the drive to create functional molecular devices has pushed the frontiers of both measurement capabilities and our fundamental understanding of varied physi-cal phenomena at the single-molecule level, including mechan-ics, thermoelectrics, optoelectronics and spintronics in addition to electronic transport characterizations. Metal–molecule–metal junctions thus represent a powerful template for understanding and controlling these physical and chemical properties at the atomic- and molecular-length scales. I n this realm, molecular devices have atomically defined precision that is beyond what is achievable at present with quantum dots. Combined with the vast toolkit afforded by rational molecular design 27, these techniques hold a significant promise towards the development of actual devices that can transduce a variety of physical stimuli, beyond their proposed utility as electronic elements 28.n this Review we discuss recent measurements of physi-cal properties of single metal–molecule–metal junctions that go beyond electronic transport characterizations (Fig. 1). We present insights into experimental investigations of single-molecule junc-tions under different stimuli: mechanical force, optical illumina-tion and thermal gradients. We then review recent progress in spin- and quantum interference-based phenomena in molecular devices. I n what follows, we discuss the emerging experimentalSingle-molecule junctions beyond electronic transportSriharsha V. Aradhya and Latha Venkataraman*The id ea of using ind ivid ual molecules as active electronic components provid ed the impetus to d evelop a variety of experimental platforms to probe their electronic transport properties. Among these, single-molecule junctions in a metal–molecule–metal motif have contributed significantly to our fundamental understanding of the principles required to realize molecular-scale electronic components from resistive wires to reversible switches. The success of these techniques and the growing interest of other disciplines in single-molecule-level characterization are prompting new approaches to investigate metal–molecule–metal junctions with multiple probes. Going beyond electronic transport characterization, these new studies are highlighting both the fundamental and applied aspects of mechanical, optical and thermoelectric properties at the atomic and molecular scales. Furthermore, experimental demonstrations of quantum interference and manipulation of electronic and nuclear spins in single-molecule circuits are heralding new device concepts with no classical analogues. In this Review, we present the emerging methods being used to interrogate multiple properties in single molecule-based devices, detail how these measurements have advanced our understanding of the structure–function relationships in molecular junctions, and discuss the potential for future research and applications.methods, focusing on the scientific significance of investigations enabled by these methods, and their potential for future scientific and technological progress. The details and comparisons of the dif-ferent experimental platforms used for electronic transport char-acterization of single-molecule junctions can be found in ref. 29. Together, these varied investigations underscore the importance of single-molecule junctions in current and future research aimed at understanding and controlling a variety of physical interactions at the atomic- and molecular-length scale.Structure–function correlations using mechanicsMeasurements of electronic properties of nanoscale and molecu-lar junctions do not, in general, provide direct structural informa-tion about the junction. Direct imaging with atomic resolution as demonstrated by Ohnishi et al.30 for monoatomic Au wires can be used to correlate structure with electronic properties, however this has not proved feasible for investigating metal–molecule–metal junctions in which carbon-based organic molecules are used. Simultaneous mechanical and electronic measurements provide an alternate method to address questions relating to the struc-ture of atomic-size junctions 31. Specifically, the measurements of forces across single metal–molecule–metal junctions and of metal point contacts provide independent mechanical information, which can be used to: (1) relate junction structure to conduct-ance, (2) quantify bonding at the molecular scale, and (3) provide a mechanical ‘knob’ that can be used to control transport through nanoscale devices. The first simultaneous measurements of force and conductance in nanoscale junctions were carried out for Au point contacts by Rubio et al.32, where it was shown that the force data was unambiguously correlated to the quantized changes in conductance. Using a conducting atomic force microscope (AFM) set-up, Tao and coworkers 33 demonstrated simultaneous force and conductance measurements on Au metal–molecule–metal junc-tions; these experiments were performed at room temperature in a solution of molecules, analogous to the scanning tunnelling microscope (STM)-based break-junction scheme 8 that has now been widely adopted to perform conductance measurements.Department of Applied Physics and Applied Mathematics, Columbia University, New York, New York 10027, USA. *e-mail: lv2117@DOI: 10.1038/NNANO.2013.91These initial experiments relied on the so-called static mode of AFM-based force spectroscopy, where the force on the canti-lever is monitored as a function of junction elongation. I n this method the deflection of the AFM cantilever is directly related to the force on the junction by Hooke’s law (force = cantilever stiff-ness × cantilever deflection). Concurrently, advances in dynamic force spectroscopy — particularly the introduction of the ‘q-Plus’ configuration 34 that utilizes a very stiff tuning fork as a force sen-sor — are enabling high-resolution measurements of atomic-size junctions. In this technique, the frequency shift of an AFM cantilever under forced near-resonance oscillation is measuredas a function of junction elongation. This frequency shift can be related to the gradient of the tip–sample force. The underlying advantage of this approach is that frequency-domain measure-ments of high-Q resonators is significantly easier to carry out with high precision. However, in contrast to the static mode, recover-ing the junction force from frequency shifts — especially in the presence of dissipation and dynamic structural changes during junction elongation experiments — is non-trivial and a detailed understanding remains to be developed 35.The most basic information that can be determined throughsimultaneous measurement of force and conductance in metalThermoelectricsSpintronics andMechanicsOptoelectronicsHotColdFigure 1 | Probing multiple properties of single-molecule junctions. phenomena in addition to demonstrations of quantum mechanical spin- and interference-dependent transport concepts for which there are no analogues in conventional electronics.contacts is the relation between the measured current and force. An experimental study by Ternes et al.36 attempted to resolve a long-standing theoretical prediction 37 that indicated that both the tunnelling current and force between two atomic-scale metal contacts scale similarly with distance (recently revisited by Jelinek et al.38). Using the dynamic force microscopy technique, Ternes et al. effectively probed the interplay between short-range forces and conductance under ultrahigh-vacuum conditions at liquid helium temperatures. As illustrated in Fig. 2a, the tunnel-ling current through the gap between the metallic AFM probe and the substrate, and the force on the cantilever were recorded, and both were found to decay exponentially with increasing distance with nearly the same decay constant. Although an exponential decay in current with distance is easily explained by considering an orbital overlap of the tip and sample wavefunctions through a tunnel barrier using Simmons’ model 39, the exponential decay in the short-range forces indicated that perhaps the same orbital controlled the interatomic short-range forces (Fig. 2b).Using such dynamic force microscopy techniques, research-ers have also studied, under ultrahigh-vacuum conditions, forces and conductance across junctions with diatomic adsorbates such as CO (refs 40,41) and more recently with fullerenes 42, address-ing the interplay between electronic transport, binding ener-getics and structural evolution. I n one such experiment, Tautz and coworkers 43 have demonstrated simultaneous conduct-ance and stiffness measurements during the lifting of a PTCDA (3,4,9,10-perylene-tetracarboxylicacid-dianhydride) molecule from a Ag(111) substrate using the dynamic mode method with an Ag-covered tungsten AFM tip. The authors were able to follow the lifting process (Fig. 2c,d) monitoring the junction stiffness as the molecule was peeled off the surface to yield a vertically bound molecule, which could also be characterized electronically to determine the conductance through the vertical metal–molecule–metal junction with an idealized geometry. These measurements were supported by force field-based model calculations (Fig. 2c and dashed black line in Fig. 2d), presenting a way to correlate local geometry to the electronic transport.Extending the work from metal point contacts, ambient meas-urements of force and conductance across single-molecule junc-tions have been carried out using the static AFM mode 33. These measurements allow correlation of the bond rupture forces with the chemistry of the linker group and molecular backbone. Single-molecule junctions are formed between a Au-metal sub-strate and a Au-coated cantilever in an environment of molecules. Measurements of current through the junction under an applied bias determine conductance, while simultaneous measurements of cantilever deflection relate to the force applied across the junction as shown in Fig. 2e. Although measurements of current throughzF zyxCantileverIVabConductance G (G 0)1 2 3Tip–sample distance d (Å)S h o r t -r a n g e f o r c e F z (n N )10−310−210−11110−110−210−3e10−410−210C o n d u c t a n c e (G 0)Displacement86420Force (nN)0.5 nm420−2F o r c e (n N )−0.4−0.200.20.4Displacement (nm)SSfIncreasing rupture forcegc(iv)(i)(iii)(ii)Low HighCounts d9630−3d F /d z (n N n m −1)(i)(iv)(iii)(ii)A p p r o a chL i ft i n g110−210−4G (2e 2/h )2051510z (Å)H 2NNH 2H 2NNH 2NNFigure 2 | Simultaneous measurements of electronic transport and mechanics. a , A conducting AFM set-up with a stiff probe (shown schematically) enabled the atomic-resolution imaging of a Pt adsorbate on a Pt(111) surface (tan colour topography), before the simultaneous measurement of interatomic forces and currents. F z , short-range force. b , Semilogarithmic plot of tunnelling conductance and F z measured over the Pt atom. A similar decay constant for current and force as a function of interatomic distance is seen. The blue dashed lines are exponential fits to the data. c , Structural snapshots showing a molecular mechanics simulation of a PTCDA molecule held between a Ag substrate and tip (read right to left). It shows the evolution of the Ag–PTCDA–Ag molecular junction as a function of tip–surface distance. d , Upper panel shows experimental stiffness (d F /d z ) measurements during the lifting process performed with a conducting AFM. The calculated values from the simulation are overlaid (dashed black line). Lower panel shows simultaneously measured conductance (G ). e , Simultaneously measured conductance (red) and force (blue) measurements showing evolution of a molecular junction as a function of junction elongation. A Au point contact is first formed, followed by the formation of a single-molecule junction, which then ruptures on further elongation. f , A two-dimensional histogram of thousands of single-molecule junctionrupture events (for 1,4-bis(methyl sulphide) butane; inset), constructed by redefining the rupture location as the zero displacement point. The most frequently measured rupture force is the drop in force (shown by the double-headed arrow) at the rupture location in the statistically averaged force trace (overlaid black curve). g , Beyond the expected dependence on the terminal group, the rupture force is also sensitive to the molecular backbone, highlighting the interplay between chemical structure and mechanics. In the case of nitrogen-terminated molecules, rupture force increases fromaromatic amines to aliphatic amines and the highest rupture force is for molecules with pyridyl moieties. Figure reproduced with permission from: a ,b , ref. 36, © 2011 APS; c ,d , ref. 43, © 2011 APS.DOI: 10.1038/NNANO.2013.91such junctions are easily accomplished using standard instru-mentation, measurements of forces with high resolution are not straightforward. This is because a rather stiff cantilever (with a typical spring constant of ~50 N m−1) is typically required to break the Au point contact that is first formed between the tip and sub-strate, before the molecular junctions are created. The force reso-lution is then limited by the smallest deflection of the cantilever that can be measured. With a custom-designed system24 our group has achieved a cantilever displacement resolution of ~2 pm (com-pare with Au atomic diameter of ~280 pm) using an optical detec-tion scheme, allowing the force noise floor of the AFM set-up to be as low as 0.1 nN even with these stiff cantilevers (Fig. 2e). With this system, and a novel analysis technique using two-dimensional force–displacement histograms as illustrated in Fig. 2f, we have been able to systematically probe the influence of the chemical linker group44,45 and the molecular backbone46 on single-molecule junction rupture force as illustrated in Fig. 2g.Significant future opportunities with force measurements exist for investigations that go beyond characterizations of the junc-tion rupture force. In two independent reports, one by our group47 and another by Wagner et al.48, force measurements were used to quantitatively measure the contribution of van der Waals interac-tions at the single-molecule level. Wagner et al. used the stiffness data from the lifting of PTCDA molecules on a Au(111) surface, and fitted it to the stiffness calculated from model potentials to estimate the contribution of the various interactions between the molecule and the surface48. By measuring force and conductance across single 4,4’-bipyridine molecules attached to Au electrodes, we were able to directly quantify the contribution of van der Waals interactions to single-molecule-junction stiffness and rupture force47. These experimental measurements can help benchmark the several theoretical frameworks currently under development aiming to reliably capture van der Waals interactions at metal/ organic interfaces due to their importance in diverse areas includ-ing catalysis, electronic devices and self-assembly.In most of the experiments mentioned thus far, the measured forces were typically used as a secondary probe of junction prop-erties, instead relying on the junction conductance as the primary signature for the formation of the junction. However, as is the case in large biological molecules49, forces measured across single-mol-ecule junctions can also provide the primary signature, thereby making it possible to characterize non-conducting molecules that nonetheless do form junctions. Furthermore, molecules pos-sess many internal degrees of motion (including vibrations and rotations) that can directly influence the electronic transport50, and the measurement of forces with such molecules can open up new avenues for mechanochemistry51. This potential of using force measurements to elucidate the fundamentals of electronic transport and binding interactions at the single-molecule level is prompting new activity in this area of research52–54. Optoelectronics and optical spectroscopyAddressing optical properties and understanding their influence on electronic transport in individual molecular-scale devices, col-lectively referred to as ‘molecular optoelectronics’, is an area with potentially important applications55. However, the fundamental mismatch between the optical (typically, approximately at the micrometre scale) and molecular-length scales has historically presented a barrier to experimental investigations. The motiva-tions for single-molecule optoelectronic studies are twofold: first, optical spectroscopies (especially Raman spectroscopy) could lead to a significantly better characterization of the local junction structure. The nanostructured metallic electrodes used to real-ize single-molecule junctions are coincidentally some of the best candidates for local field enhancement due to plasmons (coupled excitations of surface electrons and incident photons). This there-fore provides an excellent opportunity for understanding the interaction of plasmons with molecules at the nanoscale. Second, controlling the electronic transport properties using light as an external stimulus has long been sought as an attractive alternative to a molecular-scale field-effect transistor.Two independent groups have recently demonstrated simulta-neous optical and electrical measurements on molecular junctions with the aim of providing structural information using an optical probe. First, Ward et al.56 used Au nanogaps formed by electromi-gration57 to create molecular junctions with a few molecules. They then irradiated these junctions with a laser operating at a wavelength that is close to the plasmon resonance of these Au nanogaps to observe a Raman signal attributable to the molecules58 (Fig. 3a). As shown in Fig. 3b, they observed correlations between the intensity of the Raman features and magnitude of the junction conductance, providing direct evidence that Raman signatures could be used to identify junction structures. They later extended this experimental approach to estimate vibrational and electronic heating in molecu-lar junctions59. For this work, they measured the ratio of the Raman Stokes and anti-Stokes intensities, which were then related to the junction temperature as a function of the applied bias voltage. They found that the anti-Stokes intensity changed with bias voltage while the Stokes intensity remained constant, indicating that the effective temperature of the Raman-active mode was affected by passing cur-rent through the junction60. Interestingly, Ward et al. found that the vibrational mode temperatures exceeded several hundred kelvin, whereas earlier work by Tao and co-workers, who used models for junction rupture derived from biomolecule research, had indicated a much smaller value (~10 K) for electronic heating61. Whether this high temperature determined from the ratio of the anti-Stokes to Stokes intensities indicates that the electronic temperature is also similarly elevated is still being debated55, however, one can definitely conclude that such measurements under a high bias (few hundred millivolts) are clearly in a non-equilibrium transport regime, and much more research needs to be performed to understand the details of electronic heating.Concurrently, Liu et al.62 used the STM-based break-junction technique8 and combined this with Raman spectroscopy to per-form simultaneous conductance and Raman measurements on single-molecule junctions formed between a Au STM tip and a Au(111) substrate. They coupled a laser to a molecular junction as shown in Fig. 3c with a 4,4’-bipyridine molecule bridging the STM tip (top) and the substrate (bottom). Pyridines show clear surface-enhanced Raman signatures on metal58, and 4,4’-bipy-ridine is known to form single-molecule junctions in the STM break-junction set-up8,15. Similar to the study of Ward et al.56, Liu et al.62 found that conducting molecular junctions had a Raman signature that was distinct from the broken molecu-lar junctions. Furthermore, the authors studied the spectra of 4,4’-bipyridine at different bias voltages, ranging from 10 to 800 mV, and reported a reversible splitting of the 1,609 cm–1 peak (Fig. 3d). Because this Raman signature is due to a ring-stretching mode, they interpreted this splitting as arising from the break-ing of the degeneracy between the rings connected to the source and drain electrodes at high biases (Fig. 3c). Innovative experi-ments such as these have demonstrated that there is new physics to be learned through optical probing of molecular junctions, and are initiating further interest in understanding the effect of local structure and vibrational effects on electronic transport63. Experiments that probe electroluminescence — photon emis-sion induced by a tunnelling current — in these types of molec-ular junction can also offer insight into structure–conductance correlations. Ho and co-workers have demonstrated simultaneous measurement of differential conductance and photon emissionDOI: 10.1038/NNANO.2013.91from individual molecules at a submolecular-length scale using an STM 64,65. Instead of depositing molecules directly on a metal sur-face, they used an insulating layer to decouple the molecule from the metal 64,65 (Fig. 3e). This critical factor, combined with the vac-uum gap with the STM tip, ensures that the metal electrodes do not quench the radiated photons, and therefore the emitted photons carry molecular fingerprints. Indeed, the experimental observation of molecular electroluminescence of C 60 monolayers on Au(110) by Berndt et al.66 was later attributed to plasmon-mediated emission of the metallic electrodes, indirectly modulated by the molecule 67. The challenge of finding the correct insulator–molecule combination and performing the experiments at low temperature makes electro-luminescence relatively uncommon compared with the numerous Raman studies; however, progress is being made on both theoretical and experimental fronts to understand and exploit emission pro-cesses in single-molecule junctions 68.Beyond measurements of the Raman spectra of molecular junctions, light could be used to control transport in junctions formed with photochromic molecular backbones that occur in two (or more) stable and optically accessible states. Some common examples include azobenzene derivatives, which occur in a cis or trans form, as well as diarylene compounds that can be switched between a conducting conjugated form and a non-conducting cross-conjugated form 69. Experiments probing the conductance changes in molecular devices formed with such compounds have been reviewed in depth elsewhere 70,71. However, in the single-mol-ecule context, there are relatively few examples of optical modula-tion of conductance. To a large extent, this is due to the fact that although many molecular systems are known to switch reliably in solution, contact to metallic electrodes can dramatically alter switching properties, presenting a significant challenge to experi-ments at the single-molecule level.Two recent experiments have attempted to overcome this chal-lenge and have probed conductance changes in single-molecule junctions while simultaneously illuminating the junctions with visible light 72,73. Battacharyya et al.72 used a porphyrin-C 60 ‘dyad’ molecule deposited on an indium tin oxide (I TO) substrate to demonstrate the light-induced creation of an excited-state mol-ecule with a different conductance. The unconventional transpar-ent ITO electrode was chosen to provide optical access while also acting as a conducting electrode. The porphyrin segment of the molecule was the chromophore, whereas the C 60 segment served as the electron acceptor. The authors found, surprisingly, that the charge-separated molecule had a much longer lifetime on ITO than in solution. I n the break-junction experiments, the illuminated junctions showed a conductance feature that was absent without1 μm Raman shift (cm –1)1,609 cm –1(–)Source 1,609 cm–1Drain (+)Low voltage High voltageMgPNiAl(110)STM tip (Ag)VacuumThin alumina 1.4 1.5 1.6 1.701020 3040200400Photon energy (eV)3.00 V 2.90 V 2.80 V 2.70 V 2.60 V2.55 V 2.50 VP h o t o n c o u n t s (a .u .)888 829 777731Wavelength (nm)Oxideacebd f Raman intensity (CCD counts)1,5001,00050000.40.30.20.10.01,590 cm −11,498 cm −1d I /d V (μA V –1)1,609 cm –11,631 cm–11 μm1 μmTime (s)Figure 3 | Simultaneous studies of optical effects and transport. a , A scanning electron micrograph (left) of an electromigrated Au junction (light contrast) lithographically defined on a Si substrate (darker contrast). The nanoscale gap results in a ‘hot spot’ where Raman signals are enhanced, as seen in the optical image (right). b , Simultaneously measured differential conductance (black, bottom) and amplitudes of two molecular Raman features (blue traces, middle and top) as a function of time in a p-mercaptoaniline junction. c , Schematic representation of a bipyridine junction formed between a Au STM tip and a Au(111) substrate, where the tip enhancement from the atomically sharp STM tip results in a large enhancement of the Raman signal. d , The measured Raman spectra as a function of applied bias indicate breaking of symmetry in the bound molecule. e , Schematic representation of a Mg-porphyrin (MgP) molecule sandwiched between a Ag STM tip and a NiAl(110) substrate. A subnanometre alumina insulating layer is a key factor in measuring the molecular electroluminescence, which would otherwise be overshadowed by the metallic substrate. f , Emission spectra of a single Mg-porphyrin molecule as a function of bias voltage (data is vertically offset for clarity). At high biases, individual vibronic peaks become apparent. The spectra from a bare oxide layer (grey) is shown for reference. Figure reproduced with permission from: a ,b , ref. 56, © 2008 ACS; c ,d , ref. 62, © 2011 NPG; e ,f , ref. 65, © 2008 APS.DOI: 10.1038/NNANO.2013.91light, which the authors assigned to the charge-separated state. In another approach, Lara-Avila et al.73 have reported investigations of a dihydroazulene (DHA)/vinylheptafulvene (VHF) molecule switch, utilizing nanofabricated gaps to perform measurements of Au–DHA–Au single-molecule junctions. Based on the early work by Daub et al.74, DHA was known to switch to VHF under illumina-tion by 353-nm light and switch back to DHA thermally. In three of four devices, the authors observed a conductance increase after irradiating for a period of 10–20 min. In one of those three devices, they also reported reversible switching after a few hours. Although much more detailed studies are needed to establish the reliability of optical single-molecule switches, these experiments provide new platforms to perform in situ investigations of single-molecule con-ductance under illumination.We conclude this section by briefly pointing to the rapid pro-gress occurring in the development of optical probes at the single-molecule scale, which is also motivated by the tremendous interest in plasmonics and nano-optics. As mentioned previously, light can be coupled into nanoscale gaps, overcoming experimental chal-lenges such as local heating. Banerjee et al.75 have exploited these concepts to demonstrate plasmon-induced electrical conduction in a network of Au nanoparticles that form metal–molecule–metal junctions between them (Fig. 3f). Although not a single-molecule measurement, the control of molecular conductance through plas-monic coupling can benefit tremendously from the diverse set of new concepts under development in this area, such as nanofabri-cated transmission lines 76, adiabatic focusing of surface plasmons, electrical excitation of surface plasmons and nanoparticle optical antennas. The convergence of plasmonics and electronics at the fundamental atomic- and molecular-length scales can be expected to provide significant opportunities for new studies of light–mat-ter interaction 77–79.Thermoelectric characterization of single-molecule junctions Understanding the electronic response to heating in a single-mole-cule junction is not only of basic scientific interest; it can have a tech-nological impact by improving our ability to convert wasted heat into usable electricity through the thermoelectric effect, where a temper-ature difference between two sides of a device induces a voltage drop across it. The efficiency of such a device depends on its thermopower (S ; also known as the Seebeck coefficient), its electric and thermal conductivity 80. Strategies for increasing the efficiency of thermoelec-tric devices turned to nanoscale devices a decade ago 81, where one could, in principle, increase the electronic conductivity and ther-mopower while independently minimizing the thermal conductiv-ity 82. This has motivated the need for a fundamental understandingof thermoelectrics at the single-molecule level 83, and in particular, the measurement of the Seebeck coefficient in such junctions. The Seebeck coefficient, S = −(ΔV /ΔT )|I = 0, determines the magnitude of the voltage developed across the junction when a temperature dif-ference ΔT is applied, as illustrated in Fig. 4a; this definition holds both for bulk devices and for single-molecule junctions. If an addi-tional external voltage ΔV exists across the junction, then the cur-rent I through the junction is given by I = G ΔV + GS ΔT where G is the junction conductance 83. Transport through molecular junctions is typically in the coherent regime where conductance, which is pro-portional to the electronic transmission probability, is given by the Landauer formula 84. The Seebeck coefficient at zero applied voltage is then related to the derivative of the transmission probability at the metal Fermi energy (in the off-resonance limit), with, S = −∂E ∂ln( (E ))π2k 2B T E 3ewhere k B is the Boltzmann constant, e is the charge of the electron, T (E ) is the energy-dependent transmission function and E F is the Fermi energy. When the transmission function for the junction takes on a simple Lorentzian form 85, and transport is in the off-resonance limit, the sign of S can be used to deduce the nature of charge carriers in molecular junctions. In such cases, a positive S results from hole transport through the highest occupied molecu-lar orbital (HOMO) whereas a negative S indicates electron trans-port through the lowest unoccupied molecular orbital (LUMO). Much work has been performed on investigating the low-bias con-ductance of molecular junctions using a variety of chemical linker groups 86–89, which, in principle, can change the nature of charge carriers through the junction. Molecular junction thermopower measurements can thus be used to determine the nature of charge carriers, correlating the backbone and linker chemistry with elec-tronic aspects of conduction.Experimental measurements of S and conductance were first reported by Ludoph and Ruitenbeek 90 in Au point contacts at liquid helium temperatures. This work provided a method to carry out thermoelectric measurements on molecular junctions. Reddy et al.91 implemented a similar technique in the STM geome-try to measure S of molecular junctions, although due to electronic limitations, they could not simultaneously measure conductance. They used thiol-terminated oligophenyls with 1-3-benzene units and found a positive S that increased with increasing molecular length (Fig. 4b). These pioneering experiments allowed the iden-tification of hole transport through thiol-terminated molecular junctions, while also introducing a method to quantify S from statistically significant datasets. Following this work, our group measured the thermoelectric current through a molecular junction held under zero external bias voltage to determine S and the con-ductance through the same junction at a finite bias to determine G (ref. 92). Our measurements showed that amine-terminated mol-ecules conduct through the HOMO whereas pyridine-terminatedmolecules conduct through the LUMO (Fig. 4b) in good agree-ment with calculations.S has now been measured on a variety of molecular junctionsdemonstrating both hole and electron transport 91–95. Although the magnitude of S measured for molecular junctions is small, the fact that it can be tuned by changing the molecule makes these experiments interesting from a scientific perspective. Future work on the measurements of the thermal conductance at the molecu-lar level can be expected to establish a relation between chemical structure and the figure of merit, which defines the thermoelec-tric efficiencies of such devices and determines their viability for practical applications.SpintronicsWhereas most of the explorations of metal–molecule–metal junc-tions have been motivated by the quest for the ultimate minia-turization of electronic components, the quantum-mechanical aspects that are inherent to single-molecule junctions are inspir-ing entirely new device concepts with no classical analogues. In this section, we review recent experiments that demonstrate the capability of controlling spin (both electronic and nuclear) in single-molecule devices 96. The early experiments by the groups of McEuen and Ralph 97, and Park 98 in 2002 explored spin-depend-ent transport and the Kondo effect in single-molecule devices, and this topic has recently been reviewed in detail by Scott and Natelson 99. Here, we focus on new types of experiment that are attempting to control the spin state of a molecule or of the elec-trons flowing through the molecular junction. These studies aremotivated by the appeal of miniaturization and coherent trans-port afforded by molecular electronics, combined with the great potential of spintronics to create devices for data storage and quan-tum computation 100. The experimental platforms for conducting DOI: 10.1038/NNANO.2013.91。
高压断路器SF6压力低闭锁回路的优化
电力系统124丨电力系统装备 2018.5Electric System2018年第5期2018 No.5电力系统装备Electric Power System Equipment断路器的灭弧性能和绝缘性能在很大程度上取决于SF 6气体的密度,若断路器在密度不满足指标的情况下动作,将可能引起电弧未完全熄灭,继而烧毁绝缘,造成断路器爆炸等重大事故。
因此,对SF 6气体密度的监视显得尤为重要。
在检修过程中,经常会发现SF 6气压低闭锁功能失效,不能闭锁分合闸回路。
经检查发现实现SF 6气压低闭锁的中间继电器已损坏,不能励磁,而现场并没有任何异常告警现象,也无法得知该继电器何时损坏。
这给现场设备稳定运行带来很大的安全隐患。
本文通过对常见断路器SF 6气压低闭锁回路进行分析,针对该回路在设计中存在的不足,提出了优化改进措施。
1 断路器SF 6压力低闭锁回路设计现状断路器SF 6压力低闭锁回路均由各自断路器制造厂家自行设计,虽然没有统一的技术标准,但总体思路是一致的。
SF 6气压低闭锁回路基本原理就是通过密度继电器,在气压降低时驱动中间继电器实现控制回路闭锁功能,同时发出气压低闭 锁信号,提醒运行人员和监控人员。
但由于该回路自身缺乏监 视,当回路自身有故障时,而此时发生SF 6气压低闭锁,此时气压低闭锁将不能可靠闭锁分合闸回路,或者不能及时发出告警信号提醒运行人员,从而给电网运行带来极大安全隐患。
如图1所示为常见220 kV 断路器的SF 6气压低闭锁回路原理图。
气体密度监视继电器KD1提供两个独立常开接点(正常运行时节点打开)分别启动ZJ1和ZJ2中间继电器。
其中中间ZJ1继电器提供两个常闭接点分别串接在合闸和分闸1回路,一个常开接点接入发信回路,实现SF 6压力低闭锁合闸及分闸1并告 警功能;ZJ2继电器提供1个常闭接点串接在分闸2回路,一个常开接点接入发信回路,实现SF 6压力低闭锁分闸2并告警功能。
Speeding up pipelined circuits through a combination of gate sizing and clock skew optimiza
FF B Ck
Combinational block
CC 2
FF C Ck
1 Introduction
Figure 1: The advantages of nonzero clock skew. We now motivate the need for using skew optimization in conjunctionwith sizing. The general character of the area-delay tradeo for sizing alone without using skew optimization is shown by the curve in Figure 2. For a loose delay speci cation, the area penalty is not very large, but for tighter speci cations, it becomes extremely large. Referring to Figure 1, the use of skew optimization in conjunction with sizing would allow CC2 to steal a part of the clock cycle for CC1 , thereby allowing it a larger timing budget and a correspondingly smaller area penalty. For example, in Figure 2, this may allow CC2 to move from position A to position B. Correspondingly, CC1 may move from position C to position D. The nonlinearityof the area-delay tradeo curve ensures that the area corresponding to moving CC1 from C to D is smaller than the area savings corresponding to moving CC2 from A to B.
Unit_4
set dw_prefer_mc_inside true Partition_dp|transform_csa set_ultra_optimization true compile -auto_ungroup delay -map high [-area none] set dw_prefer_mc_inside true compile_ultra optimize_registers -per 0 -flat compile -inc -map high
in-to-reg, reg-to-reg, reg-to-out, in-to-out
Use critical_range to improve more violators (TNS)
apply to design or per path group
best done during -incremental
Ungroup During or Between Compiles
Eliminate hierarchy on critical path ungroup poor partitioning (unregistered IO) Ungroup small blocks
4-5
Recommended Approach
What if there are no initial DP opportunities?
Perhaps more can be created... Hierarchy may be in the way
ungroup and regroup to get operators together
report_timing -attr -net -tran -nosplit report_constraints (especially DRCs) get_attribute report_attribute report_net check library cell pins for attributes report_disable_timing ‘grep’ through write_script -hier
V 12-2型号迪菲电子光电开关系列产品介绍说明书
V 12-2Detecting objectson conveyor belts using VS/VE 12-2through-beam photo-electric switches.▼VL 12-2 photoelectric reflex switches canalso be used for the reliable detection of reflective surfaces, for example film-wrapped cardboard boxes.VT 12-2 photo-electric proximity switches used to ensure that waste is rolled up correctly when paper and film strips are cut.Is an objectpresent or not? The VT 12-2 photo-electric proximity switch provides the answer.▼▲▲VT 12T-2, Scanning distance 115 mm/340 mm115 mm Scanning distance340 mm Scanning distance 0 (mm)204060801001207025501152100210 (mm)501001502002503003502180200034023002112Scanning distance on white, 90 % remission Scanning distance on grey, 18 % remissionOperating distance Scanning distance, max. typical(mm)50100150200250300100101O p e r a t i n g r e s e r v eScanning distance max. typical Operating distanceVT 12(T)-2300 mm12(mm)10060802040100110O p e r a t i n g r e s e r v eOperating distance 21Scanning distance max. typical VT 12(T)-2100 mmScanning distance, max. typical 1)0... 115 mm 0 ... 340 mm Operating distance 1)2... 100 mm 2 ... 300 mmSensitivity setting Manual, per Teach-in buttonElectronic, per control input C (0 V)2)Light source 3), light type LED, infrared lightLight spot diameterApprox. 20 mm at 100 mm Approx. 28 mm at 300 mm Angle of dispersion of senderApprox. 11.4°(SD = max.), Approx. 22.6°(SD = 1/2 max.)Approx. 5.3°(SD = max.),Approx. 11.2°(SD = 1/2 max.)Supply voltage V S 10 ... 30 V DC 4)Ripple 5)≤10%Current consumption 6)≤20 mA Switching outputs Q: PNP Q: NPN Output current l A max.≤100 mASwitching mode Light-/Dark-switching selectable 2)Response time 7)≤1.25 ms Switching frequency max.8)400/sConnection typesCable 9)PVC, 2 m, 4 x 0.14 mm 2, Ø 3.75 mm PlugM12, 4-pinVDE protection class 10)VCircuit protection 11)A, B, C, D Enclosure ratingIP 67Ambient temperature T A Operation –25 °C ... +70 °C Storage –25 °C ... +70 °C WeightWith cable Approx. 54 g With plug Approx. 18 gHousing materialHousing:Nickel-coated brass/PA Optics:PC1)Object to be detected with 90%remission (relating to standard white in acc. with DIN 5033); 100 x 100 mm2)Controll input C – L.ON/D.ON and – external Teach-inC = open: light-switching L.ON C = + V S : dark-switching D.ONC = 0 V: Sensitivity setting per “externalTeach-in” active3)Average service life 100,000 h at T A = +25°C 4)Limit values5)May not exceed or fall short of V S tolerances 6)Without load7)Signal transit time with resistive load8)With light/dark ratio 1:19)Do not bend below 0°C 10)Reference voltage 50 V DC11)A =V Sconnections reverse-polarityprotectedB =Inputs and output reverse-polarityprotectedC =Interference pulse suppressionD =Outputs overload and short-circuitprotectedTechnical dataVT 12T-2P 112P 410N 112N 410P 132P 430N 132N 430TypeOrder no.VT 12T -2P 112 6 026 211VT 12T -2P 410 6 026 212VT 12T -2N 112 6 026 209VT 12T -2N 410 6 026 210VT 12T -2P 132 6 026 215VT 12T -2P 430 6 026 216VT 12T -2N 132 6 026 213VT 12T -2N 4306 026 214Order information0 (m)0.5 1.0 1.5 2.0 2.5 3.0Operating range Scanning range, max. typical0 2.50.03 2.00 2.30.03 1.80.90.10.7 2.80.03 2.323410.1 ... 0.7 mReflective tape Diamond GradePL 50 A/PL 40 A/P 25040.03 ... 1.8 m 3 C 1100.03 ... 2.0 m 2PL 80 A 0.03 ... 2.3 m1Reflector type Operating range VL 12-210001001011.01.52.02.50.5(m)O p e r a t i n g r a n g eOperating rangeReflectorC 110Scanning range, max. typical V L 12-22Scanning range, max.typ./reflector 0.03... 2.8 m/PL 80 A Operating range 0.03... 2.3 m/PL 80 A Sensitivity settingNot availableLight source 1), light type LED, red light, with polarization filter Light spot diameterApprox. 80 mm at 2 m Angle of dispersion of sender Approx. 2.3°(SR = max.), Approx. 6.3°(SR = 1/2 max.)Supply voltage V S 10 … 30 V DC 2)Ripple 3)≤10%Current consumption 4)≤20 mASwitching outputsQ: PNP Q: NPN Output current l A max.≤100 mASwitching mode Light-/Dark-switching selectable 5)Response time 6)≤1.25 ms Switching frequency max.7)400/sConnection types Cable 8)PVC, 2 m, 4 x 0.14 mm 2, Ø 3.75 mm PlugM12, 4-pinVDE protection class 9)VCircuit protection 10)A, B, C, D Enclosure ratingIP 67Ambient temperature T A Operation –25 °C ... +70 °C Storage –25 °C ... +70 °CWeightWith cable Approx. 54 g With plug Approx. 18 gHousing materialHousing: Nickel-coated brass/PA Optics: PC1)Average service life 100,000 h at T A = +25°C 2)Limit values3)May not exceed or fall short of V S tolerances 4)Without load5)L/D switching type control line L/D =open (not assigned)dark-switching D.ONL/D =+ V S : light-switching L.ON L/D =0 V: dark-switching D.ON6)Signal transit time with resistive load 7)With light/dark ratio 1:18)Do not bend below 0°C 9)Reference voltage 50 V DC10)A =V S connections reverse-polarityprotectedB =Inputs and output reverse-polarityprotectedC =Interference pulse suppressionD =Outputs overload and short-circuitprotectedTechnical dataVL 12-2P 132P 430N 132N 430Scanning range and operating reserveType Order no.VL 12-2P 132 6 026 219VL 12-2P 430 6 026 220VL 12-2N 132 6 026 217VL 12-2N 4306 026 218Order informationVS/VE 12-2100010010123451(m)O p e r a t i n g r e s e r v eOperating rangeScanning range, max. typical V S/V E 12-20 (m) 1.0 2.0 3.0 4.0 5.0 6.0Operating range Scanning range, max. typical5.04.0Scanning range, m a x .t yp .0... 5.0 m O p e r at in g r a n ge 0... 4.0 m Se n s i t ivi t y sett in gN ot a v a il a bl e Light source 1), light type L ED, infr a r e d li g h tLi g h t s p ot di a m ete rAppr o x . 100 mm at 4 m An g l e o f di s p e r s i o n o f se nd e r Appr o x . 1.4°(S R = m a x .), An g l e o f di s p e r s i o n o f r ece iv e r Appr o x . 4.5°(S R = 1/2 m a x .)Supply voltage V S 10 … 30 V DC 2)Rippl e 3)≤10%C urr e n t co n s ump t i o n 4)≤20 mASwitching outputs Q : PNP Q : NPN O u t pu t c urr e n t l A m a x .≤100 mAS wi tc hin g m o d e Li g h t-/Da rk -s wi tc hin g se l ecta bl e 5)R es p o n se t im e 6)≤2.0 m s S wi tc hin g fr e qu e n c y m a x .7)250/sConnection typesCa bl e 8) se nd e r V S 12-2 PV C, 2 m , 2 x 0.14 mm 2, Ø 3.75 mm Ca bl e 8) r ece iv e r V E 12-2 PV C, 2 m , 4 x 0.14 mm 2, Ø 3.75 mm Plu gM12, 4-pinVDE protection class 9)VCircuit protection 10)A , B, C, D Enclosure ratingIP 67Ambient temperature T A O p e r at i o n –25 °C ... +70 °C Sto r age –25 °C ... +70 °C WeightWi t h ca bl e V S a nd V E eac h a ppr o x . 54 g Wi t h plu g V S a nd V E eac h a ppr o x . 18 g Housing materialH o u s in g : Ni c k e l -coate d br ass /PA O p t i cs :P C1)Av e r age se rvi ce lif e 100,000 h at T A = +25°C 2)Limi t v a lu es3)M a y n ot e x cee d o r f a ll s h o r t o f V S to l e r a n ces 4)Wi t h o u t l oa d5)L/D s wi tc hin g t yp e co n t r o l lin e L/D =o p e n (n ot ass i g n e d)d a rk -s wi tc hin g D.O NL/D =+ V S : li g h t-s wi tc hin g L .O N L/D =0 V: d a rk -s wi tc hin g D.O N6)S i g n a l t r a n s i t t im e wi t h r es i st iv e l oa d 7)Wi t h li g h t /d a rk r at i o 1:18)Do n ot b e nd b e l o w 0°C 9)R e f e r e n ce v o l tage 50 V DC10)A =V S co nn ect i o n s r e v e r se-p o l a ri t ypr otecte dB =Inpu ts a nd o u t pu t r e v e r se-p o l a ri t ypr otecte dC =In te rf e r e n ce pul se s uppr ess i o nD =O u t pu ts o v e rl oa d a nd s h o r t-c ir c ui tpr otecte d11)Th e o rd e r n o. co n ta in s t r a n s mi tte r a nd r ece iv e r (= p a ir).Technical dataV S /V E 12-2P 132P 430N 132N 430Scanning range and operating reserveType 11)Order no.11)V S /V E 12-2P 132 6 026 223V S /V E 12-2P 430 6 026 224V S /V E 12-2N 132 6 026 221V S /V E 12-2N 4306 026 222Order information。
基于级联常通型SiC_JFET的快速中压直流固态断路器设计及实验验证
第52卷第5期电力系统保护与控制Vol.52 No.5 2024年3月1日Power System Protection and Control Mar. 1, 2024 DOI: 10.19783/ki.pspc.231277基于级联常通型SiC JFET的快速中压直流固态断路器设计及实验验证何 东1,徐星冬1,兰 征1,王 伟2(1.湖南工业大学电气与信息工程学院,湖南 株洲 412007;2.湖南大学电气与信息工程学院,湖南 长沙 410082)摘要:固态断路器(solid state circuit breaker, SSCB)是直流配电网中实现快速、无弧隔离直流故障的关键保护装置。
首先提出了一种基于级联常通型碳化硅(silicon carbide, SiC)结型场效应晶体管(junction field effect transistor, JFET)的新型中压直流SSCB拓扑,直流故障发生时利用金属氧化物压敏电阻(metal oxide varistor, MOV)向SSCB主开关级联常通型SiC JFET器件的栅源极提供驱动电压,可快速实现直流故障保护。
其次详细分析了SSCB关断和开通过程的运行特性,并提出了SSCB驱动电路关键参数设计方法。
最后研制了基于3个级联常通型SiC JFET器件的1.5 kV/63 A中压SSCB样机,通过短路故障、故障恢复实验验证了设计方法的有效性。
结果表明该SSCB关断250 A短路电流的响应时间约为20 μs,故障恢复导通响应时间约为12 μs,为中压直流SSCB的拓扑优化设计和级联常通型SiC JFET器件的动静态电压均衡性能提升提供了支撑。
关键词:直流配电网;固态断路器;碳化硅结型场效应晶体管;金属氧化物压敏电阻;短路故障Design and experimental verification of an ultrafast medium-voltage DC solid-statecircuit breaker using cascaded normally-on SiC JFETsHE Dong1, XU Xingdong1, LAN Zheng1, WANG Wei2(1. College of Electrical and Information Engineering, Hunan University of Technology, Zhuzhou 412007, China;2. College of Electrical and Information Engineering, Hunan University, Changsha 410082, China)Abstract: The solid-state circuit breaker (SSCB) is a crucial component in the protection of DC distribution networks in that they facilitate reliable, arc-free, and fast isolation of DC faults. First, a novel medium-voltage DC SSCB topology based on cascaded silicon carbide (SiC) junction field effect transistors (JFETs) is proposed. When a DC fault occurs, metal oxide varistors (MOVs) are used to provide driving voltage to the gate-source terminals of cascaded normally-on SiC JFETs of the SSCB main switch. These can achieve fast DC fault protection. Additionally, the operational characteristics of the SSCB turn-off and turn-on processes are analyzed in detail, and the design method of key parameters of the SSCB drive circuit is proposed.Finally, a 1.5 kV/63 A medium-voltage SSCB prototype based on three cascaded normally-on SiC JFETs is developed, and the effectiveness of the design scheme is verified through short-circuit fault and fault recovery experiments. The results indicate that the response time for the SSCB to turn off the 250A short-circuit current is about 20 μs. Fault recovery conduction response time is about 12 μs. This provides a foundation for the topology optimization design of medium-voltage DC SSCB and the improvement of dynamic and static voltage balance performance of cascaded normally-on SiC JFETs.This work is supported by the Natural Science Foundation of Hunan Province (No. 2021JJ40172).Key words: DC distribution network; solid-state circuit breaker; SiC JFET; MOV; short-circuit fault0 引言相比传统交流配电网,直流配电网传输效率高、基金项目:湖南省自然科学基金项目资助(2021JJ40172) 线路损耗小,且易于分布式能源的集成,在数据中心、地铁牵引系统、船舶配用电系统等领域具有良好的应用前景[1-4]。
LogicSynthesis.ppt
9
Tree-Height Reduction (THR)
Singh’88:
6 5 n5
l
m
1
4
i
j3
Critical region 1
k
h
0 000 2 0 0 a bcd e f g
5
Technology Mapping for Delay
Function tree
Buffer tree
6
Overview of Solutions for Delay
• Circuit re-structuring – Rescheduling operations to reduce time of computation
– Carry lookahead (THR tree height reduction) – Conditional sum (GST transformation) – Carry bypass (GBX transformation) Global: • Reduce depth of entire circuit – Partial collapsing – Boolean simplification
– Circuit type (e.g. domino, static CMOS, etc.) – Gate type – Gate size • Logical structure of circuit – Length of computation paths – False paths – Buffering • Parasitics – Wire loads – Layout
求解大规模旅行商问题的并行环方法(IJITCS-V8-N5-1)
Bohdan Kuz and Roman Kutelmakh
Lviv Polytechnic National University, Lviv, Ukraine Email: bohdankuz@, rkutelmakh@ua.fm
a
Ré my Dupasa,b
Univ. Bordeaux, IMS, UMR 5218, F-33405 Talence, France b CNRS, IMS, UMR 5218, F-33405 Talence, France E-maiMethod for Solving a Large-scale Traveling Salesman Problem
Roman Bazylevych
Lviv Polytechnic National University, Lviv, Ukraine University of Information Technology and Management, Rzeszow, Poland E-mail: rbaz@polynet.lviv.ua
Lubov Bazylevych
Institute of Applied Problems of Mathematics and Mechanics NASU, Lviv, Ukraine E-mail: lbaz@iapmm.lviv.ua Abstract—A parallel approach for solving a large-scale Traveling Salesman Problem (TSP) is presented. The problem is solved in four stages by using the following sequence of procedures: decomposing the input set of points into two or more clusters, solving the TSP for each of these clusters to generate partial solutions, merging the partial solutions to create a complete initial solution M0, and finally optimizing this solution. Lin-KernighanHelsgaun (LKH) algorithm is used to generate the partial solutions. The main goal of this research is to achieve speedup and good quality solutions by using parallel calculations. A clustering algorithm produces a set of small TSP problems that can be executed in parallel to generate partial solutions. Such solutions are merged to form a solution, M0, by applying the “Ring” method. A few optimization algorithms were proposed to improve the quality of M0 to generate a final solution Mf. The loss of quality of the solution by using the developed approach is negligible when compared to the existing best-known solutions but there is a significant improvement in the runtime with the developed approach. The minimum number of processors that are required to achieve the maximum speedup is equal to the number of clusters that are created. Copyright © 2016 MECS Index Terms—TSP, parallelization, optimization, clustering. I. INTRODUCTION Traveling Salesman Problem (TSP) is NP-hard therefore, for large-scale problems, it is challenging to produce optimal solutions in a reasonable time. Many problems in integrated circuit manufacturing, scheduling, analysis and synthesis of chemical structures, logistic problems, robotics, etc. can be modeled and solved as TSP problems. A lot of research has been done on using various techniques to generate good quality solutions that are close to the optimal [14, 15, 16, 20, 22, 39, 40, 43]. Local heuristic approaches are one of the main approaches to obtain near optimal tours for large instances of TSP in a short time [28, 39]. Both discrete optimization [28, 29] as well as continuous optimization [30, 31, 32] methods are used to solve the Euclidean as well as non-Euclidean TSP. Many existing heuristic solutions for solving TSP with n points have O(n2) or higher complexity hence they are not efficient for solving large-scale TSPs. Lin-Kernighan combinatorial
ADS匹配与优化
5This chapter shows various ways of creating matching networks by sweeping values and using optimization.Lab 5: Matching & OptimizationLab 5: Matching and Optimization5-2OBJECTIVES• Create an input match to the RF and an output match to the IF • Tune and Optimize to achieve matching goalsMixer Design Note: From the Smith Chart S-11 results in the last lab, it appears that a series inductor can be added to the input as a first step in moving toward the center of the Smith chart for the RF match at 900 MHz. However, this does not take into consideration the other L and C components. But as a first step, it is reasonable to add the series inductor and see the effects of tuning as ideal components are replaced with real values.PROCEDURE1. Create a new schematic design for the input match.a. Use the s_params design (last lab) and save it as: s_match .b. Insert an inductor L in series to the input, as shown. Your circuit should look like the one here where the Sweep Plan and Z-ports are removed and set the S-parameter controller to sweep 15 MHz to 2.7 GHz – this will simulate most of the frequencies that will result when the LO isadded.Lab 5: Matching and Optimization5-3c. Check the sub-circuit to be sure there is no capacitor across the base-collector (from the last lab).d. Simulate and display S-11 in a new data display window. Position the dds window next to the schematic so you can see both at the same time.The default dataset should be the same name as the schematic:s_match . The results of the swept analysis should look like the plot here where a marker is added to show the value of S-11 at 900 MHz:2. Start tuning the inductora. Select the inductor and start the tuning mode .b. After the tuning dialog and status appear, open and position a new data display window near the tune control so you can see them both – move the schematic aside if necessary. Notice that the default dataset name s_match will appear (same as the schematic).Insert a Smith chart with S11 data and put a marker at 900 MHz. Notice that the S-11 trace is now changed with the real values of C and L.c. Now, set the tune control to slider mode and move the slider back and forth between the ends. Notice that the value of S-11 changes very littlebecause the range of inductance is too narrow.Lab 5: Matching and Optimization5-4d.Increase the tuning range: click the Details button and the moredetailed tune control appears. Increase the range from 0 to 30 by typing over the existing value. Based on the imaginary part of the impedance (-j3.1), the conjugate value of inductance of 30 nH is close enough. Also, set the resolution Step Size to step to something small such as 0.1 or0.01 and increase Trace History to 20.e.You should now be able to carefully movethe slider and click the step buttons untilyou reach the impedance of j0.000 asshown by the marker on the last trace.You can use this technique fordetermining the sensitivity of anycomponent.f.Click the Update button on the tunecontrol and the value of L will appear onthe component:g.Save the data display as s_match.Lab 5: Matching and Optimization5-53. Build a new input matching network (new configuration)CIRCUIT DESIGN NOTE: At this point, the addition of the series inductor is only a first approximation. The remaining ideal components ( DC feeds and blocks) must be replaced by realistic values and this may require a completely different topology other than just adding a series inductance. Also, a shunt capacitor needs to be added to the input to remove the IF signal that may appear there. Therefore, instead of continuing to add components in an attempt to create a match, you will use the followingconfiguration that will solve all the matching problems for the input. This will speed up the lab exercise.a. On the input, remove the series inductor you just tuned. It will bereplaced by a network which will achieve the desired RF match and also provide the filtering.b. Change the DC_Blocker to a real capacitor by highlighting the component name (see drawing - DC_Block) and typing in the newcomponent name C and pressing Enter on the keyboard. The DC Block will automatically become a lumped capacitor:c. Continue modifying the input topology: Insert C=470 pF to shunt the IF (470 pF is a short to 45MHz). Also, change the DC_Feed1 to L=16 nH to allow the dc to flow but it will block (choke) the stly, be sure the Z-ports have been removed .d. Simulate the new input network with a new dataset name: s_match_in.Enter. omponent by typingLab 5: Matching and Optimization5-6e.Plot the results and you should see a response like the one shown herewhere marker 1 is at the RF and marker 2 is the IF (almost an open).However, the response can be more finely tuned (next steps) so that the trace crosses directly through the 50 ohm point.f.Select the blocking capacitor and starttune mode. Adjust the value ofcapacitance until the trace cuts though thecenter of the Smith chart. The next step willbe done to adjust the inductor so that 900MHz is directly in the center.g.Tune the inductor by adding it: click Details. When the dialogTuning produces trace cuttingthrough desired impedance. Nextstep: tune L to decrease inputinductance and maker should be atdesired point.Lab 5: Matching and Optimization5-7appears, select the Component Button and add the inductor by clicking on the parameter value (not the component) L=16 nH.h. Adjust the inductance and you should get an almost perfect match at 900MHz. In addition, the matching network is very efficient because it uses a minimum of components to block the dc, choke the RF, and shunt the unwanted IF frequency to ground. Click the Update button and the values will be updated on the schematic.Design Note – L and C values : The tuned values of L and C will varydepending upon how finely you tune. However, C should be just about 1 pF and L should be between 15 and 16 nH for the following steps.4. Examine the S-22 dataa. In the data display, insert a plot of S-22 from the last tuning simulation.You should see that S-22 is close to an open circuit over the frequency range.b. Zoom into the trace area and double click on the trace. When theTrace Options dialog appears, thicken the trace and try using the othersettings if you have time. You may need to do this whenever the trace isLab 5: Matching and Optimization5-8difficult to see or when it is in a very narrow range. Build the output circuit.Output Match Design Note: For the next part of the lab exercise, you will use the optimizer to achieve the output match with a given topology.5. Build the IF output matching networkBuild the output to look like the one shown here. The DC feed is a 100 nH inductor in parallel with R_gain resistor (10K) which controls conversion gain. The capacitor (RF_shunt = 1 pF) will help short higher frequencies. Looking into the transistor from the 50 ohm load are two other capacitors for blocking (470 pF is a short to the IF) and C_match for matching.6. Simulate and plot the S-22 results Simulate (dataset name= s_match_out) and then note your results. The trace should be similar to the one shown here. S-22 at 45 MHz (shown by marker 3) is not matched to the characteristic impedance of 50 ohms. While you could use the tuner to try and achieve a match, the optimizer can also achieve the same goals.Optimization NOTE : The following stepsshow how to set up an optimization in three steps:1) Enabling the components to be optimized, 2)Defining the Goals, and 3) setting up the Optimization control.7. Enable the components to be optimizeda. Edit (double click) the DC_Feed2 inductor and click theOptimization/Statistics Setup button.Lab 5: Matching and Optimization5-9b. In the dialog, enable the dc feed inductor component for optimization,type, and range as shown. For this step, you will use Continuousoptimization with min/max values: 10 to 800 nH. Click OK as needed.Lab 5: Matching and Optimization5-10c. Enable the C_match capacitor for continuous min/max optimization also over the range of 10 to 30 pF . Edit the component, using thedialog box to do this - after a component is enabled for optimization, the annotation will appear. Or, you can edit it directly on the screen by typing in the opt function and range as shown here.8. Define optimization goalsa. Insert the first optimization goal from the Optim/Stat/Yield palette. Goals are required (named) in the optimization component. Set up the goal as shown using the steps here:b. Enter the Expr , which is return loss: “dB S(2,2))”c. Type in the SimInstanceName - the name of the S-parameter simulation controller: “SP1”.d. Type in the Expr min/max range : –3 dB to 0 dB of return losse. Type in the Range Variable: use the global variable “freq” and set the range which will be at one frequency: 900 MHz.f.Insert a measurement equationto be used in the second goal.Measurement equations are found inall simulation palettes. This goal willbe available in the dataset. Type inthe equation as shown where IF_S22(or some name of your choice) willbe the expression for achievingthe IF return loss goal:g.Insert the second optimizationgoal for the IF and type in theexpression name as shown here.Enter the max goal value of –20.There is no need to set min oryou can set it to –1000).Review of Opt Goals: Goals must refer to the simulation controller name:“SP1” (similar to a parameter sweep). The expression usually refers to the measurement (data in array form). By specifying a min and max range for the expression, you are specifying what goal you want to achieve. Here, the goal is to have an IF match of at least -20 dB (no min is required) and an RF match between 0 and -3 db. In simple terms, you want a good match at 45 MHz at the output and a bad match on the output at 900 MHz.9. Set up the Optimization controlThe optimization component controls the simulation by receiving data and testing the data until the goals are reached or the maximum number of iterations has expired.a. Select Optim/Stat/Yield in the schematic window palette and insert the Nominal Optimization controller (Optim).b. Edit (double click) the Optimizer control cmponent and add the two goals (OptGoal ) by clicking their names. If you do not select specific goals, the default is to run all the goals.c. Be sure to select and use Random optimization (most common).d. Use 150 iterations. For Random optimization, one iteration is a successful simulation and may ormay not get closer to the goal.e. In the Parameters tab, check the box for Solutions to dataset. This will put the S parameters in the dataset. Also,always be sure the Set best values…box is checked (yes on display). This allows the optimized component values to be updated on the schematic.Parameters Tab Note : The Data to save selections can create large datasets that you may not need. To avoid this, do not check any boxes and, if you achieve the goal (EF=0), update the component values, deactivate theoptimizer and do a regular simulation. However, for this lab, you will use the Solutions to dataset.f. In the Display tab, set only the things you want to be displayed – this is a good practice for keeping organized schematics and simulations.10. Optimizea. Use a new dataset name (such as s_opt ) and Simulate (F7) with the simulation set 15 MHz to 2 GHz with 5 MHz steps to land on RF and IF.b. Watch the Status Window for the results of the optimization. Use the scroll bar if necessary to read it. If the optimization is successful, you should see a message that the EF (error function) = 0. If not, check your work, or try another type such as Gradient, or adjust the ranges.c. If the EF is 0, go to the schematic and click Simulate > UpdateOptimization Values . The optimized values of L and C will appear as exact values but you can round them off. Here, C is about 22 pF and L is about 560 nH (your answer may vary slightly).Parameters TabEF = 0 and the valuesof L and C are given.11. P lot the S22 data.It will be similar to the plot shown here where all the successful iterations are traced. Notice that one of the traces is near the center of the Smith Chart (marker).That trace represents the last optimization iteration where the goals were met.12. List the meas eqn dataa. Insert a list of your equation: IF_S22 that was used in the goal. The equation will be in the same dataset as the S-parameters (s_opt). You should see the value of the equation at 45 MHz which represents the optimized goal.b. Deactivate the Optimizer and edit thecomponent values on screen by highlighting and deleting the unwanted values and typing in the values of L and C as: L = 560 nH and C = 22 pF .c. Simulate and your plot of S-22 will now have only one trace similar to the oneshown here. Also, edit the plot and use the Plot Optionsto title the plot.At this point the mixer has good input and output matching networks. Of course, you could refine the output match with the tuner but it is not necessary.NOTE on the opt and noopt function: Refer to the schematic where the optimized component value had annotation such as: C=7.95462189+001 pF opt{ range]. If you type noopt instead of opt, that component (noopt) will not be optimized. This is easier than editing the component in the dialog box.EXTRA EXERCISES:1.Optimize again using gradient method instead of random or try to optimize tobetter goals: S-22 = -25 or better dB at IF. To do this, try using anotheroptimization type such as genetic.2.Try using a DAC component to createa frequency sensitive inductor. As theplot here shows, the real andimaginary values change withfrequency. These curves aredescribed by a file which is read bythe DAC. To do this, you need towrite a file for the data and build theschematic required schematic. Stepby step instructions follow on thenext page…DAC instructions:a. Open a new schematic saved it as DAC_Z. Refer to the previous circuit and insert the components in their default state:• S-parameter controller, Termination and ground, Z1P from the equation based linear palette, and a DAC from the Data items palette.b. Write an mdf file using the ADS main window Options > Text Editor (use only Note pad not Word pad which has formatting - this is amust). Write the file shown here and save it in the DATA directory as: testdac.mdf . Ifnecessary, you may need to use the windows file explorer to change the name if it is saved as a .txt file. Also, be careful of the syntax in the file - the first column contains 3 frequency points, the second and third columns contains the real and imaginary parts of the reactive component.c. On schematic, edit the S-parameter controller. In Parameters tab , set to compute Z parameters not S. In the Display ta b, check the the Sweep Var and start, stop,set and set them as shown to sweep the global variable “freq” from 10 to 30 GHz in 1 GHz steps. You will get interpolated data for all the steps.d. On schematic, set the Z1P value of Z[1,1]= file{DAC1,”my_x”}. The value of Z11is the variable “my_x” in the DAC1 file. Of course, the file is testdac.mdf.e. On schematic, edit the DAC as shown here. IVar1 is the independent variable and iVal1 is the swept variable. As “freq” is swept, “my_freq” will be indexed and theDAC will return complex values of “my_x” interpolated over the frequency range.f.Check the circuit and simulate. Then plot two traces, real and imag, of Z(1,1) asshown where X changes with frequency. Now, the Zport can be used wherever a frequency sensitive component is required. For multiple components, simply create different files and access them as required.THIS PAGE IS INTENTIONALLY BLANK。
西门子低压电力分配和电气安装技术说明书
Catalog Extract LV 10Edition04//lowvoltageSENTRON • SIVACON • ALPHALow-Voltage PowerDistribution and ElectricalInstallation TechnologyMeasuring Devices, Power Monitoring andDigitalization SolutionsWe are there when you need us Your personal contact can be found at /lowvoltage/contactCatalog LV 10 · 04/2020You will find the latest edition and all future editions in the Siemens Industry Online Support at /lowvoltage/catalogsRefer to the Industry Mall for current prices /industrymall The products and systems listed in this catalog are developed and manufactured using a certified quality management system in accordance with DIN EN ISO 9001:2008.Technical dataThe technical specifications are for general information purposes only. Always heed the operating instructions and notices on individual products during assembly, operation and maintenance.All illustrations are not binding.© Siemens 2020Making sure power makes its wayConsistent, safe and intelligent low-voltage power distribution and electrical installation technology Whether industries, infrastructures or buildings: Each environment depends on a reliable power supply.Which is why products and systems featuring maximum safety and optimum efficiency are in demand. This comprehensive portfolio for low-voltage power distribution and electrical installation technology covers every requirement – from the switchboard to the socket outlet.SENTRON · SIVACON · ALPHAIntroductionI /2Air Circuit Breakers1/1Molded Case Circuit Breakers 2/1Miniature C ircuit B reakers3/1Residual Current Protective Devices / Arc Fault Detection Devices (AFDDs) 4/1Switching Devices5/1Overvoltage Protection Devices 6/1Fuse S ystems7/1Switch D isconnectors8/1Transfer S witching E quipment and L oad T ransfer S witches 9/1Measuring Devices, Power Monitoring and Digitalization Solutions 10/1Monitoring Devices11/1Transformers, P ower S upply U nits and S ocket O utlets 12/1Busbar S ystems 13/1Terminal B locks14/1Power D istribution B oards, M otor C ontrol C enters and D istribution B oards 15/1Busbar T runking S ystems16/1System C ubicles, S ystem L ighting and S ystem A ir-C onditioning 17/1AppendixA/1ProtectingProtecting, Switching and Isolating Switching and Isolating Measuring and MonitoringDistributionLow-Voltage Power Distribution and Electrical Installation TechnologyI 1234567891011121314151617A© Siemens 2020© Siemens 2020Easy, reliable, cost-efficientThere are many advantages to be had fromkeeping a watchful eye on your energy consumption: in addition to cost savings through optimized consumption, you ensure increased resilience with the monitoring of power supply systems and network quality in infrastructure and industrial plants.At the same time, systematic power monitoring increases your awareness of actual energy consumption, making it a key prerequisite forgreater energy efficiency.Integration into open IoT operating systemssuch as MindSphere results in even greater optimization potential.What is more, with a power monitoring systemyou lay the foundation for regular energy auditsand a corporate energy management system according to ISO 50001 and ISO 50003.All the information you needQuick selection guidePower monitoringHardware componentsAccessoriesSoftwarepowerconfigpowermanager V3powermanager V47KN PowercenterSENTRON powermindSIMATIC Energy SuiteSIMATIC Modbus/TCP SENTRON PACPAC/3WL/3VA SIMATIC PCS 7 libraryMeasuring devices7KM PAC measuring devices7KT PAC measuring devicesSEM3 multichannel current measuring systemTime and pulse countersCurrent transformers10/1Siemens LV 10 · 04/202010/2Siemens LV 10 · 04/202010/3Siemens LV 10 · 04/20207KT PAC1200powerconfigCircuit breakers■Function available10/4Siemens LV 10 · 04/20207KN Powercenter300010/5Siemens LV 10 · 04/20207KM PAC22007KMPAC3200T7KMPAC31007KM PAC3120new7KMPAC32007KM PAC3220new10/6Siemens LV 10 · 04/20207KM PAC42007KMPAC51007KMPAC52007KTPAC12007KTPAC1600SEM33WL3WL10 /3VA273VA ETU810/7Siemens LV 10 · 04/20207KM Switched Ethernet PROFINET / Modbus TCP 7KM PROFIBUS DP7KM RS485Modbus RTUIndustryBuildings and infrastructure Circuit breakers10/8Siemens LV 10 · 04/20207KM PAC 4DI / 2DO7KM PACI(N), I(Diff), analog4NCCurrent transformers7KTCurrent transformers10/9Siemens LV 10 · 04/2020Setting of parameter valuesDisplay of the circuit breaker state 10/10Siemens LV 10 · 04/202010/11Siemens LV 10 · 04/202010/12Siemens LV 10 · 04/202010/13Siemens LV 10 · 04/202010/14Siemens LV 10 · 04/202010/15Siemens LV 10 · 04/20207KM PAC22007KM PAC3200T7KM PAC31007KM PAC3120 new 10/16Siemens LV 10 · 04/20207KM PAC32007KM PAC3220 new7KM PAC42007KM PAC51007KM PAC52007KM PAC TMP2 standard mounting rail adapter 7KM PAC TMP mounting plateCompact holderSpare parts 7KM PAC7KM Switched Ethernet PROFINET communication module 7KM PROFIBUS DP communication module7KM RS485 communication module7KM PAC 4DI7KM PAC I(N), I(Diff), analog expansion module7KT PAC16007KT PAC1200 Data manager with 7KT1260, sensor barsData manager with 7KT1260, sensors18 bundle24 bundle7KT1222–Metering modulesMeter racks Connecting cables Standard current transformersFolding transformersDIN rail adapters new48 × 48 mm72 × 72 mm–1-phase3-phase I sr = 5 A I sr = 1 A I sr = 5 A1-phase3-phaseI sr = 5 A I sr = 1 A I sr = 5 A Standard rail mounting10/27Siemens LV 10 · 04/2020A/1Siemens LV 10 · 04/2020A/2Siemens LV 10 · 04/2020A/3Siemens LV 10 · 04/2020Catalogs and further informationSecurity informationSiemens provides products and solutions with industrial security functions that support the secure operation of plants, systems, machines and networks.In order to protect plants, systems, machines and networks against cyber threats, it is necessary to implement – and continuously maintain – a holistic, state-of-the-art indus-trial security concept. Siemens’ products and solutions constitute one element of such a concept.Customers are responsible for preventing unauthorized access to their plants, systems, machines and networks. Such systems, machines and components should only be connected to an enterprise network or the Internet if and to the extent such a connection is necessary and only when appropriate security measures (e.g. firewalls and/or network segmentation) are in place.For additional information on industrial security measures that may be implemented, please visithttps:///industrialsecuritySiemens’ products and solutions undergo continuousdevelopment to make them more secure. Siemens strongly recommends that product updates are applied as soon as they are available and that the latest product versions are used. Use of product versions that are no longer supported, and failure to apply the latest updates may increase customer's exposure to cyber threats.To stay informed about product updates, subscribe to the Siemens Industrial Security RSS Feed under https:///industrialsecurityGet more information/lowvoltagePublished by Siemens AGSmart Infrastructure Low Voltage Products Siemensstraße 1093055 Regensburg, GermanyPDF (Extract from E86060-K8280-A101-B1-7600) KG 0520 32 EnProduced in Germany © Siemens 2020Subject to changes and errors. The information given in this catalog only contains general descriptions and/or performance features which may not always specifically reflect those described, or which may undergo modification in the course of further development of the products. The requested performance features are binding only when they are expressly agreed upon in the concluded contract.All product designations may be trademarks or other rights of Siemens AG, its affiliated companies or other companies whose use by third parties for their own purposes could violate the rights of the respective owner.For the U.S. published by Siemens Industry Inc.100 Technology Drive Alpharetta, GA 30005 United States。
Optimization of the Peaking Current Source
ing the simplicity of the Widlar current source [5], [6], with a measure of supply voltage as well as temperature independence. In previous analysis [3] the peaking source is viewed as a current transformer in which a predetermined input current Z,, set by a JFET current source, is translated to a more constant output current 10. A circuit of greater simplicity and ease of integration is one in which the input current is supplied by an integrated resistor connected to the supply. This circuit is analyzed to determine output current in terms of input supply voltage including temperature effects. II.
The value {4 can be found by substitution of (1) into (5) and assuming matched transistors such that 1~1 = I,,
1A = Ie–(q/hVIRz
(6)
is
The value of 1A at the peak or optimum value of R2
ST AN829 应用笔记
1/9AN829APPLICATION NOTENovember 2003BOOST CIRCUIT OPTIMIZATION PARAMETERSAmong the available topologies, a boost circuit operating in continuous current mode is the only topology which enables the RFI noise across the input capacitor to be limited, and consequently a lower cost filter is required.Also, the boost inductor stores only a part of the transferred energy, because the mains still supplies energy during the demagnetization phase of the boost inductor - so the magnetic part required is smaller than needed with any other topology. Therefore the boost topology leads to the cheapest solution. Figure 1 shows the general topology of a boost PFC. Its optimization requires careful adjustment of the following parameters:– the value of the input capacitor C i– the current ripple in the boost inductor L b– the parasitic capacitances of the boost inductor and power semiconductors, including those associ-ated with the heatsink – the operating frequency and also the frequency modulation technique.Figure 1. Semiconductor Kit for PFC Schematic.by J.M. BorgeousSEMICONDUCTOR KIT FOR POWER FACTOR CORRECTORThis paper present a new line of P.F.C. dedicated products. Both silicon and packaging have been op-timized to reduce system cost, including filtering. The products shown here are offered as a kit for power factor correction.AN829 APPLICATION NOTESEMI-CONDUCTOR KITThe semiconductor kit consists of an L4981 controller, an STE36N50-DK power module and an STH80N05 power sense (see figure 1).L4981A/B controller:The L4981 operates with an input voltage range of 85V to 270V and uses average current mode PWM control, providing feed forward line and load compensation. Two versions are available: version (A) provides synchro-nization with the down stream converter, whereas version (B) provides linear frequency modulation, spreading the RFI noise spectrum.Both versions incorporate overvoltage and overcurrent protection, soft start and under voltage lockout with pro-grammable threshold.Other features include an on chip voltage reference (2%) which is externally available, a typical starting current of only 0.5mA and separate grounds for the power and signal stages.L4981 use an optimum current control method. It is an average current control using feed forward line regulation and variable or fixed switching frequency.The oscillator simultaneously turns on the power switch and starts the ramp of the PWM current control. The average inductor current is compared with the current reference by means of the current error amplifier. It op-erates as an integrator, allowing the circuit to accurately follow the current reference generated by the multiplier. This current reference is obtained by sinewave modulating the error voltage of the voltage control loop.A feed forward compensation of the mains voltage has been added to the multiplier in order to keep constant the voltage control loop bandwidth whatever the mains fluctuation. A fourth multiplier input allows external com-pensation to be applied to the current modulation.The oscillator can operate at constant or modulated switching frequency. In applications where modulated fre-quency is used, the RFI noise spectrum can be spread adjusting the depth of modulation by means of an exter-nal resistor. Then the maximum inductor current occurs at the minimum operating frequency.STE30NA50-DK Power Module:Built in an isolated ISOTOP TM package, which can be mounted directly on a PCB, this module integrates a low R DS(ON) Power MOSFET and a TURBOSWITCH TM Diode. Putting these two components in a single isolated package with very low parasitic inductance and capacitance reduces the component count, and significantly re-duces transient overvoltages, and EMI and RFI.As a result, the design safety margin can be relaxed and the voltage rating of the power MOSFET can be just 500V(br)DSS, meaning also that the R DS(on) of the MOSFET can be lower - in this case it is 0.14 Ohm. Both the current and avalanche handling capabilities of the power MOSFET section are specified at 100°C junction tem-perature, allowing for maximum utilization of the device. The MOSFET is a low gate-charge type and so its drive requirements are compatible with the 2A peak current capability of the L4981 controller.The integrated TURBOSWITCH TM freewheeling diode is an ultra-fast, soft recovery device using planar epitax-ial technology, and is a part of the STTA series. Its low trr (30ns) keeps the MOSFET switching losses to a min-imum. Other ratings are 600V RRM and a maximum V F of 1.5V at the rated average forward current (I Fav = 20A). STH80N05 Power sense:Using a high density low voltage Power MOSFET for current sensing has many advantages:– low resistance, typically 10m22/9AN829 APPLICATION NOTE– Can be mounted on the same heatsink as the ISOTOP– intrinsic diode for controller input protection– very low parasitic inductance improving signal/noise ratio.However, the peak current limitation will be affected by the MOSFET thermal characteristic.DESIGN RULE EXAMPLETaking the following operating conditions (see figure 2):V in rms = 230Vac +10% -15% (f=50Hz)I in rms = 16ArmsP out = 3000WV out = 400VdcorV in rms = 120Vac +20% -20% (f=60Hz)I in rms = 15ArmsP out = 1400WV out = 400VdcFigure 2. 1500W/3000W PFC Schematic.3/9AN829 APPLICATION NOTEComponent List11C110µF/250Vac26Co2,Co3,Co4,Co5,Co8,Co7330µF/450V35Co10,Co11,Co12,Co13,Co140.33µF/450V41Cp tbd51Cz150pF/100V62C12, C721µF/100V71C1322nF/100V81C18 2.2nF/100V91C19220µF/25V101C7168nF/100V111DIODE TURBOSWITCH121DgF BYW100131D1regular diode bridge or 2xMDS35 141D2 4 x BYW100151F13AG FUSE161ISOTOP STE36N50DK172J2, J1CON5182J7, J8CON2191Laux Auxiliary winding201Lb BOOST INDUCTOR211Q1STH80N05221Rgf 1 1/4W231Rhn 4.7 1/2W242R72, Rz100k 1/4W252R142, R210k 1/4W262R31, R41M 1/4W271R8, R94k 1/4W281R13390k 1/4W291R1447k 1/4W100k301R16no R16 with L4981A311R1724k 1/4W321R1947 1W331R20100k 2W341R32 4.7k 1/4W351R71820k 1/4W361R7322k 1/4W371R141750k 1/4W381U1L4981A/B4/9AN829 APPLICATION NOTE SWITCHING FREQUENCY fs is kept below 50kHz to maintain the RFI noise within the optimum frequency range of the VFG 243 standard. Figure 3 shows that in the range of 10kHz/50kHz the switching frequency fun-damental spectrum requires less filtering. C18 = 2.2nF and R17 = 24k2 give a switching frequency of 46kHz.BOOST INDUCTOR: For applications in the range of 3kW, the boost inductor design is greatly determined by material choice and core availability.As mentioned the inductor parasitic capacitance determines the filter requirements in the range 1MHz-30MHz (with regards to the switching noise). To keep this capacitance as low as possible, a single layer winding is the best solution, but this requires the use of a toroidal core shape to balance winding turns and core section. In this case a material with distributed air gaps, for example iron powder, is a good choice (see #2).This is the cheapest core material available with an inherently high saturation flux density combined with a dis-tributed air gap. Test have been performed using two different cores:–T300-26D core from MICROMETAL (Anaheim, CA) made of iron powder / 60 turns / 12 AWG.–2 x CO55866A2 core from MAGNETICS, made of nickel, iron and molybdenum / 46 turns / 12 AWG.OUTPUT CAPACITANCE value depends upon the expected output voltage drop during the specified holdup time. Considering a value of 2000F, the voltage drop during a 10msec holdup can be calculated from:Pout × 10msec = 1/2 Cout (Vout - Vmin)then V min = 382V at 1400Wand V min = 360V at 3000WFEED FORWARD COMPENSATION:Pin 7 should be supplied with a voltage proportional to the rms mains voltage. This voltage must be in the range of 1.5V to 5.5V.The circuit shown in figure 4 gives a good compromise between response time and harmonic attenuation with the following values: C71=68nF, C72 = 1mF, R71=820kΩ, R72 =1 00kΩ and R73 = 22kΩ.Figure 3. VFG 243 LIMITS Figure 4. Feed Forward Compensation Net-work5/9AN829 APPLICATION NOTEVOLTAGE ERROR AMPLIFIER:Operating with P out = 3000W and V out = 400Vdc, the mean I out is 7.5A. The corresponding 100Hz capacitor cur-rent is defined by: I capa = (P out / V out) cos 4!ft So the peak current is: P out/V out = 7.5AThen the output voltage ripple V r can be evaluated as:V r = 7.5A / 2π × 100 × 3500 × E-6 = 6VpeakVoltage divider R141/R142 attenuates the output voltage ripple to:V ri = 6Vpeak x 5.1/400 = 0.0765VpeakAssuming 3% peak voltage ripple at the voltage error amplifier output, ripple can be evaluated as:Vro = (5.1V - 1.27V) 0.03 = 0.115Vpeakwhere the first term is the output error amplifier voltage range.Then the global voltage error amplifier gain at 100Hz must be:G vea = V ro/V ri = 0.115/0.0765 = 1.5as G vea # 1 / R14 2πf C13with R14 = 47kΩ then C13=22nFTo maintain voltage loop stability requires the placement of a pole at a unity-gain frequency of about 18Hz (see #5)then R13C13 = 1/2π. 18and R13 = 390kΩLOAD COMPENSATION:A voltage applied at pin 6 can be used to perform compensation, for example a load feed forward compensation. With reference to the multiplier section, this input is equivalent to the voltage error amplifier output. If not used, it should be connected to the reference voltage (pin 11).MULTIPLIER circuit has the following response:Imult = K mult Iac (V vea-1.27) (0.8LFF-1.27)/Vrmswhere:K mult is the multiplier constant (.37) V vea is the voltage error amplifier output. LFF is the voltage at pin 6.Iac is the current supplied into pin 4. Vrms is voltage at pin 7.To keep the maximum multiplier output current below 300µA, R4 should be set to 1MΩ, meaning I ac rms varies from 95µA to 270µArms.The previous equation gives: I mult # 60µArms with Vin=95Vrms and Pout=1400W, or Vin=195Vrms and Pout=3000W.CURRENT AMPLIFIER SECTION:Using a low R DSon Power MOSFET as current sense instead of a resistance leads to a reduction of the parasitic inductance and allows the heat generated to be dissipated in the heatsink. Using the specifications of the STH80N05, R sense max is 11m W at 25°C and 15m W at 80°C.6/9AN829 APPLICATION NOTEThen the maximum rms voltage across the sense is:RMS sense voltage = 15mΩ 16A = .24VThen R8 = R9 = .24V / 60µA # 4kΩCritical current amplifier gain occurs when the current error amplifier slope exceeds the oscillator slope. This condition occurs when:V oca f s = (V o/L b) Rsense G caG ca = current amplifier gainV oca = current amplifier output voltagef s = switching frequencyL b = boost inductor valueThen G ca = V oca f s L b / (V o R sense) = 5 45E+3 0.8E-3 / 400 0.015 = 28Setting G ca # 25 enables the calculation of R z and C z:R z # G ca R9 # 100kΩC z = 1 / 2pfcRz with a crossover frequency fc of 10kHz.then C z=150pF.Capacitor C p can eventually be added to reduce the phase lag of the amplifier.IMPLEMENTATION AND SWITCHING BEHAVIORUsing a double-sided PCB significantly reduces the parasitic inductances of the circuit:–parasitic inductance Lp1 and Lp2 shown in figure 1 are intrinsic characteristics of the ISOTOP module itself; these values are very low (less than 10nH).–parasitic inductances Lp3 and Lp4 are due to the ISOTOP/capacitor loop. The proposed layout re-sults in about 20 nH for Lp3+Lp4.These values mean that the total voltage overshoot during the turn off of the MOSFET is limited to about 30V with a di/dt of 1000A/sec with no snubber.–parasitic inductance Lp5 is a few nH due to the use of an active current sense in a TO- 218 package.This results in an excellent signal/ noise ratio at the current error amplifier input. The following mea-surements have been made with the iron powder inductor as described in paragraph 4.Figure 5 shows the most important signals with an input voltage of 208Vac and an output power of 1600W. To show the current ripple more clearly, one second persistence has been used. The slight overshoot of the current error amplifier output during the mains zero voltage crossing is due to the rise of the inductor permeability at low induction. Indeed, the inductor size optimisation requires operation with 50% saturation of the iron at maximum peak current.Figure 6 shows average values of the waveforms in figure 5.Figure 7 shows the input current and voltage with 120Vac mains and 1600W output Power.Figure 8 shows the drain voltage and source current during turn off. Note that the source current probing cre-ates a parasitic inductance, limiting the di/dt. Thus there is no significant turn off overvoltage.Figure 9 shows the diode recovery current with a forward current of 20A and a di/dt of 700A/µsec. Note that the R gn gate drive resistance can be adjusted to tightly control the di/dt. This is still acting with high di/dt value due to the low parasitic inductance of the gate drive. Thermal measurements have been performed enabling the7/9AN829 APPLICATION NOTE8/9junction temperature to be accurately estimated:with :V in = 220Vac/I in = 8Arms V out = 400Vdc/R gn = 0ΩMOSFET+DIODE conduction losses = 10W MOSFET+DIODE commutat. losses = .52W/kHz with:V in = 120Vac/I in = 15Arms V out = 400Vdc/Rgn = 0ΩMOSFET+DIODE conduction losses = 40W MOSFET+DIODE commutat. losses = .88W/kHz with a gate drive resistance R gn =102, 25% must be added to the commutation losses.Figure 5. Current & Voltage Recorders-Figure 6. Average Current & Voltage-Figure 7. Average Current & Voltage-Figure 8. Turn Off Mosfet behavior-Figure 9. Diode Recovery CurrentAN829 APPLICATION NOTECONCLUSIONSThis paper presents an optimized Power Factor Corrector built around a power module driven by the L4981 con-trol IC. This STE36N50-DK ISOTOP power module is mounted directly on the PCB providing both very low in-ductance layout and compact hardware.Eliminating parasitic inductances enables tight control of switching di/dt and prevents turn off overvoltage. Therefore faster switching speeds are allowed to reduce switching losses. The 2Amps current capability of the controller is also a useful feature.Combined with a single layer winding inductor, such a configuration results in very low EMI and RFI generation. Finally, there is potential for further improvements using two features of the L4981:–the possibility either to synchronize the IC with an external signal, or to modulate the PFC switching frequency to spread the RFI noise.– the extra multiplier input, which enables external compensation.Information furnished is believed to be accurate and reliable. However, STMicroelectronics assumes no responsibility for the consequences of use of such information nor for any infringement of patents or other rights of third parties which may result from its use. No license is granted by implication or otherwise under any patent or patent rights of STMicroelectronics. Specifications mentioned in this publication are subject to change without notice. This publication supersedes and replaces all information previously supplied. STMicroelectronics products are not authorized for use as critical components in life support devices or systems without express written approval of STMicroelectronics.The ST logo is a registered trademark of STMicroelectronics.All other names are the property of their respective owners© 2003 STMicroelectronics - All rights reservedSTMicroelectronics GROUP OF COMPANIESAustralia - Belgium - Brazil - Canada - China - Czech Republic - Finland - France - Germany - Hong Kong - India - Israel - Italy - Japan - Malaysia - Malta - Morocco - Singapore - Spain - Sweden - Switzerland - United Kingdom - United States9/9。
第八章综合与STA
flow
Inputs: gate level netlist, floor plan, timing constraint, lib setup file
Optimizing existing gate level netlist according to the placement
• Starting from the bottom of the hierarchy
and proceeding up through the levels of the hierarchy until the top-level design is compiled
Top
A
B
5 ns 25 ns
• Gate-Level optimization
Delay optimization Design rule fixing Area optimization
• Timing correction is most effective with
placement information
E.g., Physical synthesis
• It does not use wire load-models
The delay is calculated based on placement rather than fanout
• Benefits
Frontend designers can consider physical effects earlier in the design process
targeting and optimizing individual blocks
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
Circuit Optimization Using Carry–Save–Adder CellsTaewhan Kim,William Jao,and Steve TjiangAbstract—Carry–save–adder (CSA)is the most often used type of operation in implementing a fast computation of arithmetics of register-transfer-level design in industry.This paper establishes a relationship between the properties of arithmetic computations and several optimizing transformations using CSA’s to derive consistently better qualities of results than those of manual imple-mentations.In particular,we introduce two important concepts,operation duplication and operation split ,which are the main driving techniques of our algorithm for achieving an extensive utilization of CSA’s.Experimental results from a set of typi-cal arithmetic computations found in industry designs indicate that automating CSA optimization with our algorithm produces designs with up to 54%faster timing and up to 42%smaller area.Index Terms—Arithmetic optimization,cell allocation,high-level synthesis.I.I NTRODUCTIONHARDWARE designers have long applied many arith-metic optimization techniques for implementation of arithmetic functionality like addition,subtraction,and multipli-cation.Among them,carry–save–adder (CSA)[1]has proved a powerful mechanism to improve timing with little,if any,area penalty (even reduced area).Fig.1(a)shows the structure ofan-bit CSA consistsof-bit input vectors and producestwo outputs,i.e.,and .We use the block symbol in Fig.1(b)to represent a CSA operation.Unlike the normal adders [e.g.,ripple-carry adder (RCA)and carry-lookahead adder (CLA)],a CSA contains no carry propagation.Consequently,the CSA has the same propagation delay as only one FA delay (compared toRCA’sis the bit width),and the delay isconstant for any valueof,the CSA implementation becomes much faster and also relatively smaller in size than the implementation of normal adders.1There has been extensive research on the arithmetic op-timizations in several areas [2]–[7].They,however,focused on the transformation of operations using techniques such as simple algebraic manipulations and constant propagation;they did not address the problem of arithmetic optimization using CSA’s.This paper introduces the concept of CSA transforma-tion and presents an algorithm that effectively utilizes CSA’sManuscript received March 30,1998.This paper was recommended by Associate Editor A.Saldanha.T.Kim is with the Department of Computer Science,Korea Advanced Institute of Science and Technology (KAIST),Taejon 305-701Korea.W.Jao is with Aimfast Corp.,Sunnyvale,CA 94086USA.S.Tijang is with Synopsys,Inc.,Mountain View,CA 94043USA.Publisher Item Identifier S 0278-0070(98)08481-4.1Note that a CSA performs the same functionality of the conventional adders in the sense that each reduces the number of adding operands by one,i.e.,a CSA reduces from three to two and an adder from two to one.to derive consistently better quality of results than that of manual implementations.We define a CSA tree to be a tree of CSA operators and one adder at the root of the tree.A CSA tree can be used to transform an arbitrary number of additions to produce two adding operands,and the adder is used at the root of CSA tree to produce a final sum.In other words,an expressionoffor a CLA].For example,expression,)technology [10].Note that the comparison shows a consistent reduction in timing.Table I(b)shows the comparison of cell area resulting for the implementations of the minimal timing in Table I(a).The results indicate that using CSA’s can reduce area significantly while reducing timing.Furthermore,the reductions tend to be very consistent and independent of the bit width of operations.Table I(c)compares the estimation of interconnect area for the implementations of the minimal timings in Table I(a).The interconnect area was estimated using a measure that is a function of the number of pins on the nets.The estimation of total net area is expressedaspins on netcan be different.However,the value of in-terconnect area obtained by the above formulation yields a measure of the degree of the complexity in layout.Our results suggest that CSA’s yields smaller net area as well.In fact,the results shown in Table I reveal a strong potential applicability of CSA’s to many computation intensive designs.In this paper,we automate the application of CSA’s.More precisely,we present an algorithm for CSA transformation that can lead to designs with better timing/area and layout.Based on several results of our experimentations and the examples shown before,our transformation can be stated as follows.Given a noncyclic data-flow graph of arithmetic operations,we wish to transform the computations using as many CSA operations as possible while preserving the functionality of the design.2We assume that A ,B ,and C arrive at the same time.3Weused the Design Compiler package from Synopsys,Inc.0278–0070/98$10.00©1998IEEEFig.1.Implementation of an n -bit CSAoperation.(a)(b)Fig.2.Operation trees before and after transformation for F =A +B +C .(a)Without CSA operation.(b)With CSA operation.The main contributions of this paper can be summarized as follows.•We establish the domain of the applicability of CSA cell allocation,specifically:a)introducing and analyzing the partial and full decom-positions of multiplications;b)defining the rules for identifying arithmetic clusters in a circuit for CSA transformation;c)presenting an efficient and effective CSA cell-allocation algorithm.Those features all together provide designers with a clean understanding of the CSA application and optimiza-tion.•We introduce two important concepts,operation duplica-tion and operation split ,which are the main driving tech-niques for achieving an extensive utilization of CSA’s.The techniques are very practical and have been proven very successful for real designs found in industry.First,we describe how we handle nonaddition operations to fit into our CSA transformation.In Section III,we present an algorithm that achieves the transformation stated above.Section IV introduces two important transformational tech-niques to extend the applicability of CSA transformation by breaking the boundary of operation trees.Then we provide extensive experimental results in Section V,and conclusions are made in Section VI.II.A PPLICABILITYOFCSA T RANSFORMATIONCSA transformation is not limited to addition only.We can transform other arithmetic operations like subtraction and multiplication into additions to produce longer chains of additions.For a given arithmetic expression,the following rules are used for transforming into additions.TABLE IC OMPARISONS OFR ESULTS FOR THE T WO O PERATION T REES IN F IG .2(a)(b)(c)•Subtractions:We replace a subtraction by adding the negation 4of the subtraction.That is,()in expression is changed into(Fig.3.Wallace tree synthesis model of multiplication.in Fig.3.Partial-mult uses the two inputs of themultiplication as input and produces two outputs,inwhich by adding them thefinal result of multipli-cation is obtained.7Consequently,by decomposinginto a partial-mult and afinal-add,we can merge thefinal-add with a descendent operation to transforminto CSA’s.This option increases only one moreoperation but is lessflexible than the case of fulldecomposition.III.A N A LGORITHM FOR CSA T RANSFORMATIONOur transformation algorithm consists of three major steps:1)identification of operation tree to be transformed,2)trans-lation of the expression of the identified tree into an additionexpression,and3)conversion of the addition expression intoa CSA tree.Given a noncycle data-flow graph of arithmeticcomputations,our algorithm iteratively performs the threesteps until there is no more candidate expression of tree tobe transformed.Note that our CSA transformation algorithm is,in nature,atiming-driven tree-height minimization approach in operationlevel.Unlike the tree-height minimization algorithms used inhardware description language(HDL)synthesis,which usuallyconsumes a significant amount of run time to take into accountthe implementation selection8simultaneously in order tofinda global optimum solution,our algorithm takes advantageof the simple(unique in most cases of technology libraries)implementation for CSA,reducing the run time considerably.The following subsections describe the details of the threesteps.A.Candidate IdentificationAs explained in Section II,the CSA transformation canbe applied to any type of operations that can be convertedto additions.A candidate cluster is basically a tree thatcontains operations like additions/subtractions/multiplications.Our algorithm is a bottom-up(from the output boundary ofdesign toward the input boundary)approach.From the outputsof design itfinds an operation that has not been transformedyet in the previous iterations.We refer the operation to root.The root is then expanded toward the input boundary ofdesign to construct a tree of operations.Note that the type ofroot must be one of addition,subtraction,and multiplication 7We use S(partial m ult(A;B))and C(partial m ult(A;B))to denote the two outputs of the partial-mult of operation A3B.8For example,an addition operation can be implemented with either ripple-carry adder for efficient area or carry-lookahead adder for fast timing.operations.The algorithm for CSA transformation,shown at the bottom of the next page,summarizes theflow of our CSA transformation algorithm with a detailed description of the candidate identification algorithm(i.e.,step1).Suppose thatoperationis an operation in which its output is used as an input ofoperationif the following four conditions9 are satisfied.•Condition1:must be one of addition,subtraction,and multiplication operations.•Condition3:outputofdrives only an inputoftois also the root of the current tree.Fig.4(a)shows a case of upper bit truncationbetweenwiththrough,where(a)(b)(c)Fig.4.Example of possible net connections between two operations.(a)Upper bit truncation.(b)Lower bit trunction.(c)Preserved bitflow up to8bits. decomposition)decreases timing but increases the numberof CSA’s drastically.On the other hands,rule5(partialdecomposition)is not much more effective to decrease timingthan rule6but maintains the number of CSA’s within a certainamount.In addition,rule7can be used as a preprocessing stepthat guarantees that the number of operations is always withinhalf the bit size of the constant.We apply the rules in Table II to the original expressionof the tree to obtain a set of operands,some of which mightneed to be negated[e.g.,in subtraction]or shifted[e.g.,ANDSort operations topologically from output boundary of design to input boundary;While(there is an unvisited operation from the sorted list):Create a queue;is not empty):fromdrivingandtoAdd;End If;End For;End While/*Step2:Convert into addition expression*/Convert the addition expression into a CSA tree(Section III-C);/*Sort operations to select a seed for next transformation*/Fig.5.An example of converting an expression into additions. constructs CSA’s iteratively one by one.Each iteration selects three operands as input of a new CSA,and two new operands are created from the CSA.At the next iteration,the three operands used in the previous iteration are removed from the operand set,and the two new operands are added to the set.Therefore,whenever one CSA is created,the size of the operand set is reduced by one.CSA construction proceeds until only two operands in the set st,a normal adder adds the two remaining operands to produce afinal output of the expression.Because the primary objective of our transformation is to reduce timing,each iteration selects,from all the possible triples of operands in the set,the triple with the earliest arriving time.We use an efficient linear-time heuristic.Initially we sort operands in the set in a nondecreasing order according to the delay to the operands from input boundary.If two operands have the same delay,we give a higher priority to the one with the smaller bit width.At each iteration,our algorithm picks thefirst three operands from the sorted list and creates a CSA.The delays to the two output operands of the CSA are then computed,and the operands are inserted to the correct positions of the sorted list.Our experimentation indicates that our operand selection approach is very efficient and yet does not degrade the quality of results when compared with that of the exhaustive search of selections.In addition,the algorithm can easily tune to optimize the area of the resultant CSA tree by sorting the operands according to the size of the bit width of operands.(If two operands have the same bit width,we resolve it by giving a higher priority to the one with the shorter delay.) We handle1-bit operands and a constant in different ways to reduce the number of CSA’s created.This can be achieved by utilizing as many carry inputs of CSA’s as possible.Each CSA created can consume a1-bit operand as carry input.Therefore, it is desirable to assign as many1-bit operands to the carry input of CSA as possible because otherwise,each requires one additional CSA.On the other hand,for the constant operand,it can be used as a multibit operand or be decomposed into logic-1values to be used as carry input of ing a constant as a multibit operand requires only one additional CSA.However, when there are a sufficiently large number of CSA’s for the multibit(nonconstant)operands,the constant can be assigned to the carry inputs of CSA’s,avoiding unnecessary creation of an additional CSA.Furthermore,when there is a mixture of operands of(nonconstant)single-bit and logic-1values, because logic-1operand takes zero delay,it is desirableto Fig.6.Effects of utilization of carry inputs on CSA tree.assign the logic-1operands to CSA’s that are far from the root of thefinal CSA tree and to assign the nonconstant operands to CSA’s that are close to the root of tree to reduce the timing of the critical path of thefinal CSA tree.Fig.6illustrates the effects of different utilization of carry inputs of CSA’s on the timing and area of thefinal CSA tree.Supposethat,are multibitoperands,and constant two are not used as carry input of CSA.Consequently,four CSA’s are created,and timing of thefinal tree is worse than the other cases.On the other hand,Fig.6(b)and(c)shows the cases that the single-bit operand and constant are used as carry input of CSA but not both,respectively.Each of them requires three CSA’s,and timing of the CSA trees is better than the previous one,but still there is room to improve.Fig.6(d)and(e)shows the cases that the carry inputs of the CSA tree are maximally utilized.However,according to the way of assigning single-bit operand and constant,there is a big difference in timing. One guideline is that we need to assign the constant operands (i.e.,logic-1)to earlier steps than the single-bit ones during the top-down process of CSA tree construction.In fact,our algorithm produced the one in Fig.6(e),which is the best in terms of timing and area.In the algorithm shown at the bottom of the next page,we summarize theflow of our CSA tree-construction algorithm(i.e.,Step3)for optimizing timing with the use of the least number of CSA’s.In preprocessing step,we divide the operands according to multibit,single bit,and constant.For the constant that is 10We use notation D(X)to denote the delay of operand X.negative,we convert it to a multibit operand.Before starting the main step,we do a pair-wise merge of operands if their bit vectors do not conflict with each other.The merge of operands can reduce the area and timing of the resultant CSA tree.Operand merging usually happens among the single-bit operands and the shifted multibit operands such as those gen-erated from sum-of-product decomposition of multiplication.We use a simple greedy algorithm for the operand merge.For pairs of operands that can be merged,we select a pair with the smallest number of logic-0bits in the resultant merged operand.This selection is intended to maximally utilize the empty bits for operand merging.Once merging of the operands is completed,we recompute mergeable pairs and repeat for the next merge until there is no mergeable pair.The merge process is also performed at the end of every iteration of the main step of the CSA tree-construction algorithm.Step 3:Algorithm for CSA tree construction 1.Preprocessing step:/*Structure operands for main step*/LetLetIf=0;S o r t o p e r a n d s i n):2.1/*S e l e c t t h r e e o p e r a n d s f o r C S A i n p u t s */I f(P i c k t h r e e o p e r a n d s w i t h e a r l i s t a r r i v i n g t i m e f r o m s etA d d t o 0;()E n d I f ;I f(),M o v e o p e r a n d s w i t h e a r l i s t a r r i v i n g t i m e in;()Create a logic-1operand andset ;ElseIf(),Create aCSA;Connect the single-bit operand from 2.2to the carry input ofCSA;Insert the two outputs to the sortedsetMerge operands if their bit patterns are disjoint.End While;3.Postprocessing:/*Allocate a final adder*/Connect inputs of adder with the operandsin;At each iteration of the main step,three operands and one single-bit operand are selected.Note that the single-bit operand will be used as carry input of CSA if there is no common bit shifting among the three operands.11The selection is guided by the early prediction of CSA’s to be required for the current operands and the timing of the operands.At each iteration, our algorithm recalculates the minimum number of CSA’s required for the multibit operands at hand in order to decide the selection of an operand from the set of single bits and logic-1values for carry input.Note thatconditionin statement2.2allows nonconstantsingle-bit operands to be assigned to CSA’s that are to becreated in late stages to reduce the timing of the critical pathof the resultant CSA tree.The iteration of the main step is stopped when there are twooperands in multibitsetand)is not enough toaccommodate all the single-bit and constant operands(i.e.,are moved tosetis the number of operandsobtained from Step2because we should sort the operandsaccording to their arrival times in the preprocessing step ofthe algorithm,and the insertion of the two outputs of the newCSA into the sorted list of operands in the main step can beperformed in a linear time.IV.E XTENSION TO THE A PPLICABILITYOF CSA T RANSFORMATIONMany signal processings and data-intensive computationsfrequently contain an operation in which only theupperbits of its output are used as input of a descendentoperation.We call this partial use of an output the lower bit11The shifting reduces the word size of CSA but disallows the use of carryinput.Fig.7.Example of CSA tree construction with relatively large number ofmultibitoperands.Fig.8.Example of CSA tree construction with relatively large number ofsingle-bit operands.truncation problem.Moreover,many designs often contain anoperation whose output feeds several other operations.We callthis the multiple fanout problem.In fact,the two problemscorrespond to the violations of condition4[Fig.4(b)]andcondition3,respectively,in Section III.In the following,weprovide solutions to the problems.A.A Solution to the Multiple Fanout ProblemWe begin with an example to demonstrate how our approachhandles operations with multiple fanout.Suppose that Fig.9(a)is a partial structure of a design that we are going to transforminto CSA’s.Note thatoperationand transform itinto CSA’s,and the second iteration willidentifyof them have multiple fanout.Then,our algorithm willidentifyadders will be allocated on the chain ofFig.9.Examples of transformation of operation with multiple fanout.the transformed CSA trees.Here,our objective is that we want to reduce the number of addersfromadders with CSA’s.We accomplish this byintroducing the concept of CSA transformation without final adder .We use the algorithm in Section III for identifying an expression tree.However,when an operation with multiple fanout is encountered (i.e.,condition 3),we mark the operation as a root of another operation tree and continue to expand the operation tree.Once we collect all the operation trees by crossing over operations with multiple fanout,we topologically sort the operation trees from input boundary of design to output boundary.We then transform the operation trees on the list one by one.For those trees with multiple fanout root,we do not allocate final adders in the resultant CSA trees.Instead,we generate the final two outputs of each CSA tree.The two outputs are then used in two ways.1)Both of them are used as input operands of the oper-ations trees,which depend on the operation tree cor-responding to the transformed CSA tree without final adder.2)A new adder is created and they are used as input of the adder.(We refer to this process as operation duplication .)The output of the adder is then used for the fanout of the root of the operation tree corresponding to the CSA tree.Consequently,only one adder will be created at the end of the last operation tree of the sorted list of trees.For example,in Fig.9(a),the first iteration will find twooperationtreesin which there is a data flowfrom.is thentransformed into a CSA tree with final adder byusing,and,the upper 8bitsof,and the carry-out ofoperationFig.11.An example of transformation of operation with multiple fanout and lower bit truncation.Fig.12.Designs with multiple fanout operations.of the ninth bit of the adder from the ninth bit of outputandTABLE VC OMPARISONOFR ESULTS FOR D ESIGNSINF IG .12TABLE VIC OMPARISONOFR ESULTS FOR D ESIGNSINF IG .13indication that our algorithm can extensively apply to the designs with additions,subtractions,and multiplications.•Transformations of designs with multiple fanout:We con-ducted our experimentation on three designs shown in Fig.12.(For all designs,we assume that the arrival times of all input operands are zero.)Each design has operations with multiple fanout.We used two CSA transformation techniques—i)without operation duplication and ii)with operation duplication—and compared the results with those produced without CSA transformation.The results are summarized in Table V.Note that operation dupli-cation can reduce timing of design much further,but it increases area.Consequently,the transformation with operation duplication can be applied in a local way to those operations on the critical path of design to reduce timing with a minimal increase of area.•Transformations of designs with lower bit truncation:We also conducted our experimentation on the three designs shown in Fig.13.Note that the designs have operations with lower bit truncation.We used two CSA transformation techniques—i)without operation split and ii)with operation split—and compared the results with those produced without CSA transformation.The results shown in Table VI reflect that operation split is a very powerful technique to overcome the limitation of the lower bit truncation problem and extend the applicability of CSA transformation to produce much faster timing and smaller area.V.C ONCLUSIONSIn this paper,we presented a new technique to optimize arithmetic circuits.The technique automatically expandscir-Fig.13.Designs with lower bit truncation operations.cuits consisting of adders,subtractors,and multipliers into their carry-save-adder representation,which is then optimized.The representation obviates the need for implementation selec-tion,the automatic selection of the best implementation among several adder/subtractor/multiplier implementations,which is a time-consuming part of arithmetic optimization.CSA transformations convert arithmetic circuits into a form consisting of small regular CSA operations.Because of this,we believe that the CSA representation should yield more accurate timing estimation during synthesis,as well as better layouts.It is also interesting to found out how the CSA trans-formation affects resource sharing among operations.Intu-itively,because a CSA has one more input than that of adder/subtractor/multiplier,the area saved by resource sharing among CSA’s may not be acceptable in some designs.The last two issues require future investigation.984IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS,VOL.17,NO.10,OCTOBER1998While designers can and do manually apply CSA techniques when designing,manual application is tedious and error prone. Our technique performs CSA transformations automatically, and this can be done within the context of a standard HDL synthesis environment.Our experimental data show that our algorithm reduces delays through arithmetic circuits.For de-signs,in which the arithmetic circuits limit the cycle time,this reduction can improve overall performance.A CKNOWLEDGMENTThe authors wish to thank ler,H.Almusa,R. Genevriere,S.Huang,and T.Ly for their valuable suggestions and comments.R EFERENCES[1]N.Weste and K.Eshraghian,Principles of CMOS VLSI Design—ASystems Perspective.Reading,MA:Addison-Wesley,1985.[2]R.Brent and H.Kung,“A regular layout for parallel adders,”IEEEput.,vol.C-31,pp.260–264,Mar.1982.[3]M.Potkonjak and J.Rabaey,“Optimizing resource utilization usingtransformations,”in Proc.IEEE puter-Aided Design, 1991,pp.88–91.[4],“Maximally fast and arbitrarily fast implementation of linercomputations,”in Proc.IEEE puter-Aided Design,1992, pp.304–308.[5] D.Lobo and B.Pangrle,“Redundant operation creation:A schedulingoptimization technique,”in Proc.ACM/IEEE Design Automation Conf., 1991,pp.775–778.[6]J.Hsu and O.Bair,“A compiler for optimal adder design,”in Proc.IEEE Custom Integrated Circuit Conf.,1992,pp.25.6.1–25.6.4.[7] A.Aho and J.Ullman,Principles of Compiler Design.Reading,MA:Addison-Wesley,1977.[8]K.Hwang,Computer Arithmetic:Principles,Architecture,and Design.New York:Wiley,1979.[9]Synopsys,Inc.,DesignWare Components Databook,1996.[10]LSI Logic,Inc.,G10-p Cell-Based ASIC Products Databook,1996.Taewhan Kim received the B.S.degree in com-puter science and statistics and the M.S.degree incomputer science from Seoul National University,Seoul,Korea,in1985and1987,respectively.Hereceived the Ph.D.degree in computer science fromthe University of Illinois at Urbana-Champaign in1993.From1993to1998,he was with Lattice Semi-conductor Corp.and Synopsys,Inc.,where he wasinvolved in logic and high-level synthesis.Since1998,he has been with the Department of Computer Science,Korea Advanced Institute of Science and Technology(KAIST), Taejon,Korea.His research interests are in the area of computer-aided design of integrated circuits and combinatorial optimizations.William Jao received the B.S.degree in electrical engineering from the National Tsing-Hua University,Taiwan,in1983and the M.S.degree in electrical engineering from the University of California,Los Angeles,in1989. His recent interest is in the area of data-path synthesis and optimization.Steve Tjiang received the Ph.D.degree from Stanford University,Stanford, CA,in1993.Since1992,he has been with Synopsys,Inc.,first as a Staff R&D Engineer working on retargetable code-generation technology for DSP and logic simulation and then as the R&D Manager for the Behavioral Computer R&D Team.His research interests include the areas of parallelizing and optimizing compilers,high-level synthesis,and hardware/software codesign.。